[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • FPGA現代數字繫統設計及應用(電子科學與技術高等學校電子信息類專業繫列教材)
    該商品所屬分類:教材 -> 研究生/本科/專科教材
    【市場價】
    336-488
    【優惠價】
    210-305
    【介質】 book
    【ISBN】9787302388562
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    • 出版社:清華大學
    • ISBN:9787302388562
    • 作者:編者:張德學//張小軍//郭華
    • 頁數:209
    • 出版日期:2015-06-01
    • 印刷日期:2015-06-01
    • 包裝:平裝
    • 開本:16開
    • 版次:1
    • 印次:1
    • 字數:343千字
    • 隨著EDA技術和工具的發展,現代數字繫統的設
      計思想、設計工具和實現方式均發生了深刻的變化,
      基本設計流程主要包括:硬件描述語言(HDL)輸入;
      仿真驗證設計功能;將HDL綜合為門級網表;靜態時
      序分析驗證時序;後端ASIC或者FPGA實現。驗證方法
      學、低功耗設計也是熱點問題。隨著繫統規模增大,
      將整個繫統在單片繫統上實現(即片上繫統,SoC)已
      逐漸成為主流。張德學、張小軍、郭華著的《FPGA現
      代數字繫統設計及應用(電子科學與技術高等學校電
      子信息類專業繫列教材)》根據工程實踐與教學經驗
      ,采用最新參考流程,指導讀者完成大規模復雜繫統
      的設計、驗證和FPGA實現。本書注重實踐,配套教學
      PPT與全部實例代碼,詳細演示各項技術,指導讀者
      動手操作,對電子信息類學生繫統掌握現代數字繫統
      沒計有重要的參考價值。
    • 第1章 現代數字繫統設計簡介
      1.1 現代數字繫統設計流程
      1.2 FPGA/CPLD原理
      1.2.1 乘積項技術原理
      1.2.2 CPLD原理
      1.2.3 查找表技術原理
      1.2.4 FPGA原理
      1.2.5 FPGA與CPLD簡要比較
      1.3 商用FPGA/cPLD器件簡介
      第2章Verilog標準與基本語法
      2.1 Verilog HDL發展歷史
      2.2 Verilog IEEE Standard 1364—1995標準基本語法
      2.2.1 標識符
      2.2.2 注釋
      2.2.3 四值邏輯
      2.2.4 數字表達
      2.2.5 字符串
      2.2.6 數據類型
      2.2.7 內建基本門
      2.2.8 連續賦值assign語句
      2.2.9 過程賦值initial和always語句
      2.2.10 運算
      2.2.11 if語句
      2.2.12 case、casex和casez語句
      2.2.13 循環控制語句
      2.2.14 編譯指示語句
      2.2.15 時延
      2.2.16 繫統任務
      2.2.17 function與task
      2.2.18 參數
      2.3 Verilog IEEE Standard 1364*新標準重要特性簡介
      2.3.1 敏感列表
      2.3.2 名維舯組
      2.4 Verilog建模
      2.4.1 可綜合與不可綜合語法
      2.4.2 層次化和模塊化設計方法
      2.5 Velilog代碼書寫
      2.5.1 Verilog代碼編寫**工具
      2.5.2 Verilog模塊基本結構
      2.5.3 實例化方法
      2.5.4 層次命名
      2.6 簡單Testbench設計
      2.6.1 組合電路Testbentch基本結構
      2.6.2 時序電路Testbentch基本結構
      2.6.3 文本界面的TestbencFl
      2.7 Verilog實例
      2.7.1 Lbl:門級電路設計與仿真
      2.7.2 Lab2:簡單時序電路設計與仿真
      2.7.3 Lab3:文本界面的Testbenc:h
      2.7.4 Lab4:3 8譯碼器
      2.7.5 Lab5:全加器
      2.7.6 Lab6:計數器
      2.8 Verilog常見問題
      2.8.1 數字仿真器基本原理
      2.8.2 阻塞賦值與非阻塞賦值
      2.8.3 $display、$strobe和$monitor比較
      2.8.4 #0延時
      2.8.5 競爭條件
      2.8.6 仿真與綜合對部分語法的不同理解.
      2.8.7 雙向端口的仿真
      2.8.8 組合邏輯回環
      2.8.9 timescale問題
      第3章 Modelsim仿真
      3.1 仿真簡介
      3.2 Modelsim簡介
      3.3 Modelsim使用方式
      3.3.1 命令行方式
      3.3.2 腳本方式
      3.3.3 qverilog單步執行方式
      3.4 繫統任務
      3.5 VCD輸出
      3.6 SDF標注
      3.7 測試覆蓋率
      第4章Verdi使用
      4.1 Verdi簡介
      4.2 Modelsim與Verdi聯合調試流程
      4.3 FsDB格式波形導出
      4.4 Verdi使用實例
      第5章QIllartusⅡ使用
      5.1 QuartusⅡ簡介
      5.2 FPGA開發流程
      5.2.1 流程簡介
      5.2.2 創建項目
      5.2.3 設置約束
      5.2.4 綜合適配
      5.2.5 下載驗證
      5.3 功能仿真與時序仿真
      第6章 TimeQuest時序分析
      6.1 時序簡介
      6.2 動態時序分析與靜態時序分析
      6.3 基本時序分析模型
      6.4 SDC簡介
      6.5 時序約束與時序分析技術
      6.5.1 時鐘約束
      6.5.2 輸入延時
      6.5.3 輸出延時
      6.5.4 組合邏輯延時
      6.6 TimeQuest工具簡介
      6.7 TimeQuest使用
      6.7.1 TimeQuest使用流程
      6.7.2 TimelQuest使用舉例
      6.8 時序例外的約束與分析
      6.8.1 false path
      6.8.2 multicycle path多周期路徑
      6.8.3 set_max_delay和set min delay
      第7章synpIjfy Pr0使用
      7.1 Synplify工具簡介
      7.2 QuartusⅡ與Synplify Pro聯合使用流程
      7.2.1 Synplify Pro與QuartusⅡ獨立運行
      7.2.2 QuartusⅡ中調用Synplify Pro
      7.2.3 Synplify Pro中調用QuartusⅡ
      第8章 Verjlog**設計
      8.1 編碼風格
      8.1.1 避免生成多餘1atch
      8.1.2 資源共享
      8.1.3 避免同時使用時鐘雙沿
      8.2 編碼風格檢查
      8.3自檢測Testtlench設計
      8.4 FSM設計
      8.4.1 FSM分類
      8.4.2 兩段式FSM設計
      8.4.3 三段式FSM設計
      8.4.4 FSM狀態編碼
      8.5 多時鐘域設計
      8.5.1 亞穩態
      8.5.2 2DFF同步器
      8.5.3 Open Loop與Closed—Loop同步器
      8.5.4 多個控制信號的同步電路設計
      8.5.5 數據信號的同步電路設計
      8.6 復位信號處理
      8.7 PLI/VPI編程
      8.7.1 仿真器擴展接口發展歷史
      8.7.2 VPI簡介
      8.7.3 注冊systf
      8.7.4 VPI實例1:繫統任務hello
      8.7.5 回調callbacks
      8.7.6 VPI實例2:繫統函數random
      8.7.7 object存取方法
      8.7.8 VPI實例3:顯示所有nets
      第9章 低功耗設計
      9.1 功耗來源
      9.2 基於FPGA的功耗估算
      9.3 低功耗設計方法
      9.3.1 門控時鐘
      9.3.2 操作數隔離
      9.4 工具選項
      **0章 SoPC繫統
      10.1 SOPC概念
      10.2 SOPC Builder簡介
      10.3 Avalon總線
      10.4 NiosⅡ處理器簡介
      10.5 SOPC開發流程
      10.6 SOPC*小繫統硬件開發
      10.7 NiosⅡ軟件開發
      10.7.1 NiosⅡ軟件開發簡介
      10.7.2 NiosⅡIDE使用流程:LED實例
      10.8 基於NiosⅡ的SOPC繫統上μCLinux移植
      10.8.1 硬件設計
      10.8.2 軟件開發環境
      10.8.3 NiosⅡ交叉開發工具鏈的構建
      10.8.4 硬件信息傳遞
      1O.8.5 內核與應用程序配置
      10.8.6 繫統測試
      10.8.7 網絡應用
      10.9 Avalon總線IP核的開發
      10.9.1 Avalon總線功能模型
      10.9.2 Avalon總線IP核開發基本框架
      10.9.3 Avalon總線IP核仿真
      10.9.4 Avalon總線IP核集成
      10.9.5 Avalon總線IP核測試
      10.10 u-boot移植與使用
      10.10.1 u-bOOt移植
      10.10.2 u-boot使用
      **1章 綜合設計:基於0Rl200的SoC繫統構建.
      11.1 wishbone總線
      11.2 構建基於ORl200的*小SoC繫統
      11.2.1 繫統硬件框圖
      11.2.2 部件簡介
      11.2.3 繫統構建
      11.2.4 or32交叉開發工具鏈
      11.2.5 軟件開發
      11.2.6 繫統軟硬件聯合仿真
      11.3 基於wishbone總線的IP核開發
      11.3.1 wishbone總線功能模型
      11.3.2 wishbone總線IP核開發基本框架-
      11.3.3 wishbone總線IP核仿真
      11.3.4 Wishbone總線IP核集成
      11.3.5 Wishbone總線IP核測試
      11.4 構建基於0R1200的實用SoC繫統
      11.4.1 繫統硬件框圖
      11.4.2 uartl6550
      11.4.3 flash控制器
      11.4.4 sdram控制器
      11.4.5 u-boot移植
      11.4.6 dm9000a網絡接口
      11.4.7 Linux移植
      附錄rtI_to——gate工具
      參考文獻
     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部