[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 零點起飛學FPGA
    該商品所屬分類:計算機/網絡 -> 輔助設計
    【市場價】
    632-916
    【優惠價】
    395-573
    【介質】 book
    【ISBN】9787302399735
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    • 出版社:清華大學
    • ISBN:9787302399735
    • 作者:編者:高敬鵬//武超群
    • 頁數:384
    • 出版日期:2015-07-01
    • 印刷日期:2015-07-01
    • 包裝:平裝
    • 開本:16開
    • 版次:1
    • 印次:1
    • 字數:620千字
    • 高敬鵬、武超群編著的《零點起飛學FPGA》以
      Verilog HDL語言為藍本,結合Altium Designer軟
      件、Quartus Ⅱ軟件與ModelSim軟件的功能,通過豐
      富的實例,從實驗、實踐和實用的角度,詳細闡述了
      FPGA在電子繫統中的應用。本書共9章,主要內容包
      括FPGA基礎知識、FPGA硬件電路的設計、Quartus
      II軟件操作基礎、Verilog HDL語言概述、面向綜合
      的行為描述語句、ModelSim仿真工具、面向驗證和仿
      真的行為描述語句、Verilog HDL語言設計進階、外
      設接口和綜合繫統設計,全面詳細地闡述了FPGA的設
      計方法和開發過程。
      本書由淺入深,從易到難,各章節既相對獨立又
      前後關聯,其最大特點是打破了傳統書籍的講解方法
      ,以圖解方式敘述基本功能的應用與操作,並通過提
      示、技巧和注意的方式指導讀者對重點內容的理解,
      從而達到在實際產品設計中的熟練應用。本書每章配
      有習題,以指導讀者深入地進行學習。
      本書既可作為高等學校電子繫統設計課程的教材
      ,也可作為電路設計及相關行業工程技術人員的技術
      參考書。
    • 第1章 FPGA基礎知識
      1.1 通用數字集成電路
      1.1.1 TTL數字集成電路
      1.1.2 CMOS數字集成電路
      1.1.3 可編程邏輯器件
      1.2 FPGA的工藝結構
      1.3 FPGA技術的發展方向
      1.4 典型的FPGA芯片
      1.4.1 Altera公司的典型產品
      1.4.2 Xilinx公司的典型產品
      1.5 FPGA芯片的應用
      1.6 FPGA的設計流程
      1.7 FPGA的設計開發工具
      1.8 典型的FPGA產品設計軟件使用簡介
      1.8.1 Altium Designer Summer 09的安裝
      1.8.2 Altium Designer summer 09的啟動
      1.8.3 Altium Designer Summer 09的主頁界面管理
      1.8.4 Quartus II軟件的安裝
      1.8.5 Quartus II軟件的啟動
      1.8.6 Quartus II的主頁界面管理
      1.9 思考與練習
      第2章 FPGA硬件電路的設計
      2.1 硬件電路的設計流程
      2.2 FPGA*小繫統
      2.1.1 FPGA芯片管腳介紹
      2.2.2 電源電路設計
      2.2.3 濾波電容電路模塊設計
      2.2.4 JTAG調試與AS下載電路的設計
      2.2.5 時鐘電路設計
      2.2.6 復位電路的設計
      2.2.7 鎖相環外圍電路的設計
      2.2.8 LED電路的設計
      2.2.9 高速SDRAM存儲器接口電路設計
      2.2.10 Flash存儲器接口電路設計
      2.2.11 FPGA*小繫統擴展接口電路設計
      2.3 FPGA硬件繫統的設計技巧
      2.4 FPGA硬件繫統的調試方法
      2.5 綜合實例:FPGA*小硬件繫統的設計
      2.6 思考與練習
      第3章 Quartus II軟件操作基礎
      3.1 Quartus II基本設計流程
      3.2 Quartus II基本設計操作
      3.2.1 工程創建
      3.2.2 設計輸入
      3.2.3 編譯項目
      3.2.4 設計文件的仿真
      3.2.5 引腳分配與器件編譯
      3.2.6 器件編程
      3.2.7 其他操作
      3.3 Quartus II參數化宏功能模塊及其使用方法
      3.3.1 LPM計數器的使用方法
      3.3.2 建立存儲器文件
      3.3.3 LPM存儲器ROM的使用方法
      3.3.4 LPM存儲器RAM的使用方法
      3.4 SignalTap II在線邏輯分析儀的使用方法
      3.4.1 SignalTap II介紹
      3.4.2 使用SignalTap II操作流程
      3.4.3 SignalTap II邏輯分析儀的使用
      3.5 典型實例:正弦波發生器及SignalTap II的使用
      3.6 思考與練習
      第4章 Verilog HDL語言概述
      4.1 硬件描述語言的概念
      4.2 Verilog HDL的產生與發展
      4.3 Verilog HDL語言的魅力
      4.3.1 Verilog HDL語言與VHDL語言的比較
      4.3.2 Verilog HDL與C語言的比較
      4.3.3 Verilog HDL的應用
      4.4 采用Verilog HDL設計復雜數字電路的優點
      4.5 Verilog HDL程序設計模式
      4.6 Verilog HDL程序基本結構
      4.6.1 Verilog HDL程序入門
      4.6.2 模塊的框架
      4.6.3 Verilog HDL語言的描述形式
      4.7 Verilog HDL語言基本要素
      4.7.1 標志符與注釋
      4.7.2 數字與邏輯數值
      4.7.3 數據類型
      4.7.4 常用運算符
      4.7.5 Verilog HDL語言的賦值
      4.7.6 Verilog HDL語言的關鍵詞
      4.8 典型實例:利用Verilog HDL語言在FPGA上實現LED流水燈
      4.9 思考與練習
      第5章 面向綜合的行為描述語句
      5.1 可綜合模型的設計
      5.2 觸發事件控制
      5.2.1 信號電平事件語句
      5.2.2 信號跳變沿事件語句
      5.3 條件語句
      5.3.1 if語句
      5.3.2 case語句
      5.3.3 條件語句的深入理解
      5.4 循環語句
      5.4.1 repeat語句
      5.4.2 while語句
      5.4.3 for語句
      5.5 任務與函數
      5.5.1 任務(task)語句
      5.5.2 函數(function)語句
      5.5.3 任務和函數的深入理解
      5.6 有限狀態機的設計
      5.6.1 有限狀態機的分類
      5.6.2 有限狀態機的狀態編碼
      5.6.3 有限狀態機設計方法
      5.6.4 設計可綜合狀態機的指導原則
      5.6.5 有限狀態機設計實例
      5.7 Quartus II圖形化狀態機輸入工具使用
      5.8 Verilog HDL語言實現組合邏輯電路
      5.9 Verilog HDL語言實現時序邏輯電路
      5.10 硬件描述語言設計基礎實例
      5.10.1 8-3編碼器
      5.10.2 3-8譯碼器
      5.10.3 數據選擇器
      5.10.4 多位數值比較器
      5.10.5 全加器
      5.10.6 D觸發器
      5.10.7 寄存器
      5.10.8 雙向移位寄存器
      5.10.9 四位二進制加減法計數器
      5.10.10 順序脈衝發生器
      5.10.11 序列信號發生器
      5.11 思考與練習
      第6章 ModelSim仿真工具
      6.1 ModelSim仿真工具簡介
      6.1.1 菜單欄
      6.1.2 工具欄
      6.1.3 工作區
      6.1.4 命令窗口
      6.1.5 MDI窗口
      6.1.6 狀態欄
      6.1.7 定制用戶界面
      6.2 ModelSim的命令與文件
      6.2.1 vlib命令
      6.2.2 vmap命令
      6.2.3 vcom命令
      6.2.4 vlog命令
      6.2.5 vsim命令
      6.2.6 force命令
      6.2.7 add wave命令
      6.2.8 run命令
      6.2.9 DO命令
      6.2.10 宏命令
      6.3 ModelSim仿真工具安裝與使用
      6.3.1 ModelSim的安裝
      6.3.2 在Quartus II中直接調用ModelSim軟件進行時序仿真
      6.3.3 使用ModelSim軟件直接進行功能仿真
      6.4 典型實例:SDRAM讀寫控制的實現與ModelSim仿真
      6.4.1 SDRAM簡介
      6.4.2 SDRAM控制器的ModelSim仿真
      6.5 思考與練習
      第7章 面向驗證和仿真的行為描述語句
      7.1 驗證與仿真概述
      7.1.1 收斂模型
      7.1.2 測試平臺說明
      7.1.3 驗證測試方法論
      7.1.4 Testbench結構說明
      7.2 仿真程序執行原理
      7.3 延時控制語句
      7.4 常用的行為仿真描述語句
      7.4.1 循環語句
      7.4.2 force和release語句
      7.4.3 wait語句
      7.4.4 事件控制語句
      7.4.5 task和function語句
      7.4.6 串行激勵與並行激勵語句
      7.5 用戶自定義元件
      7.6 仿真激勵的產生
      7.6.1 變量初始化
      7.6.2 時鐘信號的產生
      7.6.3 復位信號的產生
      7.6.4 數據信號的產生
      7.6.5 測試向量的產生
      7.6.6 關於仿真效率的說明
      7.7 典型實例:全加器的驗證與仿真
      7.8 思考與練習
      第8章 Verilog HDL語言設計進階
      8.1 繫統任務
      8.1.1 輸出顯示任務
      8.1.2 文件輸入輸出任務
      8.1.3 時間標度任務
      8.1.4 仿真控制任務
      8.1.5 仿真時間函數
      8.1.6 數字類型變換函數
      8.1.7 概率分布函數
      8.2 編譯預處理
      8.2.1 宏定義`define語句
      8.2.2 條件編譯命令`if語句
      8.2.3 文件包含`include語句
      8.2.4 時間尺度`timescale語句
      8.2.5 其他語句
      8.3 Verilog HDL語言的代碼風格
      8.3.1 Verilog HDL語言的基本原則
      8.3.2 Verilog HDL語言的編寫規範
      8.3.3 Verilog HDL語言的處理技巧
      8.4 思考與練習
      第9章 外設接口和綜合繫統設計
      9.1 外設接口實驗
      9.1.1 數碼管顯示接口實驗
      9.1.2 LCD液晶顯示接口實驗
      9.1.3 VGA顯示接口實驗
      9.1.4 RS-232C串行通信接口實驗
      9.1.5 PS2鍵盤接口實驗
      9.2 綜合繫統設計
      9.2.1 實時溫度采集繫統
      9.2.2 實時紅外采集繫統
     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部