[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

EDA技術教程/21世紀高等學校計算機規劃教材
該商品所屬分類:圖書 -> 人民郵電出版社
【市場價】
220-320
【優惠價】
138-200
【作者】 梁勇王留奎 
【出版社】人民郵電出版社 
【ISBN】9787115223395
【折扣說明】一次購物滿999元台幣免運費+贈品
一次購物滿2000元台幣95折+免運費+贈品
一次購物滿3000元台幣92折+免運費+贈品
一次購物滿4000元台幣88折+免運費+贈品
【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
版本正版全新電子版PDF檔
您已选择: 正版全新
溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
*. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
*. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
*. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
內容介紹



出版社:人民郵電出版社
ISBN:9787115223395
版次:1

商品編碼:10746897
品牌:人民郵電出版社
包裝:平裝

開本:16開
出版時間:2010-05-01
用紙:膠版紙

頁數:202
字數:343000

作者:梁勇,王留奎

    
    
"

內容簡介

《21世紀高等學校計算機規劃教材:EDA技術教程》從實際應用的角度出發,全面繫統地介紹了EDA技術和硬件描述語言VHDL,將VHDL的基礎知識、編程技巧、實用方法與實際工程開發技術在EDA軟件設計平臺上很好地結合起來,使讀者能夠通過《21世紀高等學校計算機規劃教材:EDA技術教程》的學習迅速了解並掌握EDA技術的基本理論和工程開發實用技術,並為後續的深入學習和發展打下堅實的理論與實踐基礎。
《21世紀高等學校計算機規劃教材:EDA技術教程》第一部分主要介紹了可編程邏輯器件和EDA設計技術的基本知識,然後討論了可編程邏輯器件的基本原理和Altera公司的主流CPLD和FPGA器件。第二部分主要介紹了EDA設計技術中的VHDL設計方法,然後重點討論了Altera公司的EDA開發工具QuartusⅡ7.2。第三部分通過大量的應用實例來討論可編程邏輯器件和EDA設計技術的結合應用。《21世紀高等學校計算機規劃教材:EDA技術教程》內容豐富、技術新穎、視點獨特、實用性很強,可以使讀者快速、全面地掌握可編程邏輯器件和EDA設計技術。書中列舉的VHDL示例,都經編譯通過或經硬件測試。
《21世紀高等學校計算機規劃教材:EDA技術教程》主要面向高等院校本、專科EDA技術和VHDL語言基礎課,推薦作為微電子、電子工程、通信、自動化、計算機應用技術、儀器儀表等專業的授課教材或主要參考書,同時也可以作為從事電子繫統設計的設計工程師和科研人員的技術參考書。

目錄

第1章 概述
1.1 EDA技術的發展概況
1.1.1 EDA的概念和發展歷史
1.1.2 PLD的發展概況
1.2 可編程邏輯器件概述
1.2.1 簡單PLD的基本結構
1.2.2 CPLD的基本結構
1.2.3 FPGA的基本結構
1.2.4 可編程邏輯器件的主要廠商
1.2.5 Altera公司可編程邏輯器件綜述
1.2.6 ISP
1.3 可編程邏輯器件的設計
1.3.1 一般設計流程
1.3.2 基本設計方法
1.3.3 EDA的軟件繫統
1.4 EDA的應用及發展趨勢
小結
習題

第2章 硬件描述語言VHDL
2.1 VHDL簡介
2.1.1 VHDL的發展及特點
2.1.2 傳統設計與VHDL設計對照
2.2 VHDL程序的基本結構
2.2.1 VHDL程序的與構成
2.2.2 實體
2.2.3 結構體
2.2.4 庫、程序包和配置
2.3 VHDL的語法要素
2.3.1 VHDL的文字規則
2.3.2 VHDL的數據對像
2.3.3 VHDL的數據類型
2.3.4 運算操作符
2.4 VHDL結構體的描述方式
2.4.1 順序描述語句
2.4.2 並行描述語句
2.4.3 屬性描述語句
小結
習題

第3章 Quartus II開發軟件
3.1 Quartus II簡介
3.2 Quartus II的安裝
3.3 Quartus II菜單簡要說明
3.4 設計輸入
3.4.1 文本法
3.4.2 圖形法
3.4.3 混合輸入的層次化設計方法
3.5 設計項目的編譯
3.5.1 項目(工程)的管理
3.5.2 運行編譯器
3.5.3 觀察適配結果
3.5.4 功耗分析
3.6 模擬仿真和時序分析
3.7 引腳鎖定及器件編程
3.7.1 引腳鎖定
3.7.2 器件編程
小結
習題

第4章 VHDL設計提高
4.1 VHDL設計邏輯電路的基本思想和方法
4.1.1 邏輯函數表達式方法
4.1.2 真值表方法
4.1.3 電路連接描述方法
4.1.4 不完整條件語句方法
4.1.5 層次化設計方法
4.2 常用邏輯電路的VHDL實現
4.2.1 基本組合邏輯電路設計
4.2.2 基本時序邏輯電路設計
4.2.3 狀態機的設計
小結
習題

第5章 Quartus II使用提高
5.1 IP在Quartus II中的體現
5.2 參數化宏功能塊在Quartus II的例化方法及實例
5.2.1 參數化宏功能模塊的例化方法
5.2.2 參數化宏功能模塊在原理圖中的使用
5.2.3 參數化宏功能模塊在VHDL中的使用
5.2.4 參數化宏功能模塊在混合電路設計中的使用
5.3 MegaCore的安裝及使用實例
5.3.1 MegaCore的安裝
5.3.2 MegaCore的使用實例
小結
習題

第6章 VHDL設計應用實例
6.1 數字頻率計的設計
6.2 數字鐘的設計
6.3 函數發生器的設計
6.4 交通燈信號控制器的設計
6.5 樂曲演奏電路的設計
6.6 VGA顯示控制器的設計
小結
習題
附錄A VHDL關鍵字(保留字)
附錄B VHDL編程中常見的錯誤
附錄C 自己動手做PLD器件測試電路板的一般思路
參考文獻
查看全部↓



"
 
網友評論  我們期待著您對此商品發表評論
 
相關商品
在線留言 商品價格為新臺幣
關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
返回頂部