[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 電子學(第二版)
    該商品所屬分類:圖書 -> 電子工業出版社
    【市場價】
    1192-1728
    【優惠價】
    745-1080
    【作者】 PaulHorowitz吳利民 
    【所屬類別】 電子工業出版社 
    【出版社】電子工業出版社 
    【ISBN】9787121308352
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    出版社:電子工業出版社
    ISBN:9787121308352
    版次:2

    商品編碼:12045983
    品牌:電子工業出版社
    包裝:平裝

    叢書名:國外電子與通信教材繫列
    開本:16開
    出版時間:2017-02-01

    用紙:膠版紙
    頁數:928
    字數:1633000

    正文語種:中文
    作者:Paul,Horowitz,吳利民


        
        
    "

    內容簡介

    本書是哈佛大學的經典教材,自出版以來已被譯成多種語言版本。本書通過強調電子電路繫統設計者所需的實用方法,即對電路的基本原理、經驗準則以及大量實用電路設計技巧的全面總結,側重探討了電子學及其電路的設計原理與應用。它不僅涵蓋了電子學通常研究的全部知識點,還補充了有關數字電子學中的大量較新應用及設計方面的要點內容。對高頻放大器、射頻通信調制電路設計、低功耗設計、帶寬壓縮以及信號的測量與處理等重要電路設計以及電子電路制作工藝設計方面的難點也做了通俗易懂的闡述。本書包含豐富的電子電路分析設計實例和大量圖表資料,內容全面且闡述透徹,是一本世界範圍內公認的電子學電路分析、設計及其應用的優秀教材。

    作者簡介

    吳利民,男,江西臨川人,1985年畢業於華中理工大學(現易名為華中科技大學),通信與信息繫統專業碩士,中國電子學會高級會員。2000年至2001年在美國加州大學聖芭芭拉分校電子通信與控制中心作訪問教授。現為空軍雷達學院教授,華中科技大學兼職教授。主要研究方向為軟件無線電,認知無線電技術及其應用,並先後在國內外長期從事電子通信類多門專業課程的全英文教學工作。出版專、譯著四部,在中英文核心期刊上發表論文30餘篇,並有多項科研成果獲獎。 Paul Horowitz__eol__哈佛大學物理學教授。他在哈佛任教物理學與電子學的同時,首開了哈佛的實驗電子學課程,迄今已有15年了。他的研究興趣廣泛,涉獵觀測天體物理學、X射線與粒子顯微技術、光干涉技術測量技術以及外星人探索等研究領域。作為已有60多篇技術文章與報告的作者,他也廣泛地為工業和政府有關部門做咨詢顧問工作,並且是大量電子與攝影儀器的設計者。__eol__Winfield Hill__eol__一位研究科學家,Rowland科學研究所(由Edwin land創立)電子工程室主任。研究人眼彩色視覺的生理學與表像學。他也曾在哈佛大學工作,並設計了100多種電子與科學儀器。然後,他創立了Sea Data公司,並作為首席工程師設計了50多種海洋學研究用儀器。他一直致力於深海實驗,並撰寫了10多篇科研技術文章。

    目錄

    第1章 電子學基礎
    1.1 概述
    1.2 電壓、電流與電阻
    1.2.1 電壓與電流
    1.2.2 電壓與電流之間的關繫:電阻
    1.2.3 分壓器
    1.2.4 電壓源和電流源
    1.2.5 戴維南等效電路
    1.2.6 小信號電阻
    1.3 信號
    1.3.1 正弦信號
    1.3.2 信號幅度與分貝
    1.3.3 其他信號
    1.3.4 邏輯電平
    1.3.5 信號源
    1.4 電容與交流電路
    1.4.1 電容
    1.4.2 RC電路:隨時間變化的V與I
    1.4.3 微分器
    1.4.4 積分器
    1.5 電感與變壓器
    1.5.1 電感
    1.5.2 變壓器
    1.6 阻抗與電抗
    1.6.1 電抗電路的頻率分析
    1.6.2 RC濾波器
    1.6.3 相位矢量圖
    1.6.4 “極點”與每二倍頻的分貝數
    1.6.5 諧振電路與有源濾波器
    1.6.6 電容的其他應用
    1.6.7 戴維南定理推廣
    1.7 二極管與二極管電路
    1.7.1 二極管
    1.7.2 整流
    1.7.3 電源濾波
    1.7.4 電源的整流器結構
    1.7.5 穩壓器
    1.7.6 二極管的電路應用
    1.7.7 感性負載與二極管保護
    1.8 其件
    1.8.1 機電器件
    1.8.2 顯示部分
    1.8.3器件
    1.9 補充題
    第2章 晶體管
    2.1 概述
    2.1.1 第一種晶體管模型:電流放大器
    2.2 幾種基本的晶體管電路
    2.2.1 晶體管開關
    2.2.2 射極跟隨器
    2.2.3 射極跟隨器作為穩壓器
    2.2.4 射極跟隨器偏置
    2.2.5 晶體管電流源
    2.2.6 共射放大器
    2.2.7 單位增益的反相器
    2.2.8 跨導
    2.3 用於基本晶體管電路的Ebers-Moll模型
    2.3.1 改進的晶體管模型:跨導放大器
    2.3.2 對射極跟隨器的重新審視
    2.3.3 對共射放大器的重新審視
    2.3.4 共射放大器的偏置
    2.3.5 鏡像電流源
    2.4 幾種放大器組成框圖
    □ 2.4.1 推挽輸出級
    2.4.2 達林頓連接
    □ 2.4.3 自舉電路
    2.4.4 差分放大器
    2.4.5 電容與密勒效應
    2.4.6 場效應晶體管
    2.5 一些典型的晶體管電路
    2.5.1 穩壓源
    2.5.2 溫度控制器
    2.5.3 帶晶體管與二極管的簡單邏輯電路
    2.6 電路示例
    2.6.1 電路集錦
    2.6.2 不合理電路
    2.7 補充題
    第3章 場效應管
    3.1 概述
    3.1.1 FET的特性
    3.1.2 FET的種類
    3.1.3 FET的普遍特性
    3.1.4 FET漏極特性
    3.1.5 FET特性參數的制造偏差
    3.2 基本FET電路
    3.2.1 JFET電流源
    3.2.2 FET放大器
    3.2.3 源極跟隨器
    3.2.4 FET柵極電流
    3.2.5 FET用做可變電阻
    3.3 FET開關
    3.3.1 FET模擬開關
    3.3.2 場效應管開關的局限性
    3.3.3 一些場效應管模擬開關舉例
    3.3.4 MOSFET邏輯和電源開關
    3.3.5 MOSFET使用注意事項
    3.4 電路示例
    3.4.1 電路集錦
    3.4.2 不合理電路
    第4章 反饋和運算放大器
    4.1 概述
    4.1.1 反饋
    4.1.2 運算放大器
    4.1.3 黃金規則
    4.2 基本運算放大器電路
    4.2.1 反相放大器
    4.2.2 同相放大器
    4.2.3 跟隨器
    4.2.4 電流源
    4.2.5 運算放大器電路的基本注意事項
    4.3 運算放大器常用實例
    4.3.1 線性電路
    4.3.2 非線性電路
    4.4 運算放大器特性詳細分析
    4.4.1 偏離理想運算放大器特性
    4.4.2 運算放大器限制對電路特性的影響
    4.4.3 低功率和可編程運算放大器
    4.5 詳細分析精選的運算放大器電路
    4.5.1 對數放大器
    4.5.2 有源峰值檢波器
    4.5.3 抽樣和保持
    □ 4.5.4 有源箝位器
    □ 4.5.5 絕對值電路
    4.5.6 積分器
    □ 4.5.7 微分器
    4.6 單電源供電的運算放大器
    □ 4.6.1 單電源交流放大器的偏置
    □ 4.6.2 單電源運算放大器
    4.7 比較器和施密特觸發器
    4.7.1 比較器
    4.7.2 施密特觸發器
    4.8 有限增益放大器的反饋
    4.8.1 增益公式
    4.8.2 反饋對放大電路的影響
    □ 4.8.3 晶體管反饋放大器的兩個例子
    4.9 一些典型的運算放大器電路
    4.9.1 通用的實驗室放大器
    4.9.2 壓控振蕩器
    □ 4.9.3 帶RON補償的JFET線性開關
    □ 4.9.4 TTL過零檢測器
    □ 4.9.5 負載電流感應電路
    4.10 反饋放大器的頻率補償
    4.10.1 增益和相移與頻率的關繫
    4.10.2 放大器的補償方法
    □ 4.10.3 反饋網絡的頻率響應
    4.11 電路示例
    4.11.1 電路集錦
    4.11.2 不合理電路
    4.12 補充題
    第5章 有源濾波器和振蕩器
    5.1 有源濾波器
    5.1.1 RC濾波器的頻率響應
    5.1.2 LC濾波器的理想性能
    5.1.3 有源濾波器:一般描述
    5.1.4 濾波器的主要性能指標
    5.1.5 濾波器類型
    5.2 有源濾波器電路
    5.2.1 VCVS電路
    5.2.2 使用簡化表格設計VCVS濾波器
    5.2.3 狀態可變的濾波器
    □ 5.2.4 雙T型陷波濾波器
    5.2.5 回轉濾波器的實現
    5.2.6 開關電容濾波器
    5.3 振蕩器
    5.3.1 振蕩器介紹
    5.3.2 阻尼振蕩器
    5.3.3 經典定時芯片:555
    5.3.4 壓控振蕩器
    5.3.5 正交振蕩器
    □ 5.3.6 文氏電橋和LC振蕩器
    □ 5.3.7 LC振蕩器
    5.3.8 石英晶體振蕩器
    5.4 電路示例
    5.4.1 電路集錦
    5.5 補充題
    第6章 穩壓器和電源電路
    6.1 采用典型穩壓芯片723的基本穩壓電路
    6.1.1 723穩壓器
    6.1.2 正電壓穩壓器
    6.1.3 大電流穩壓器
    6.2 散熱和功率設計
    6.2.1 功率晶體管及其散熱
    6.2.2 反饋限流保護
    6.2.3 杠杆式過壓保護
    □ 6.2.4 大電流功率器件電源電路設計的進一步研究
    □ 6.2.5 可編程電源
    □ 6.2.6 電源電路實例
    6.2.7 其他穩壓芯片
    6.3 未穩壓電源
    6.3.1 交流器件
    6.3.2 變壓器
    6.3.3 直流器件
    6.4 基準電壓
    □ 6.4.1 齊納管
    □ 6.4.2 能帶隙基準源
    6.5 3端和4端穩壓器
    6.5.1 3端穩壓器
    6.5.2 3端可調穩壓芯片
    6.5.3 3端穩壓器注意事項
    6.5.4 開關穩壓器和直流-直流轉換器
    6.6 專用電源電路
    □ 6.6.1 高壓穩壓電路
    □ 6.6.2 低噪聲、低漂移電源
    □ 6.6.3 微功耗穩壓器
    6.6.4 快速電容(電荷泵)電壓轉換器
    6.6.5 恆流源
    6.6.6 商用供電模塊
    6.7 電路示例
    6.7.1 電路集錦
    6.7.2 不合理電路
    6.8 補充題
    第7章 精密電路和低噪聲技術
    7.1 精密運算放大器設計技術
    7.1.1 精度與動態範圍的關繫
    7.1.2 誤差預算
    7.1.3 電路示例:帶自動調零的精密放大器
    7.1.4 精密設計的誤差預算
    7.1器件誤差
    7.1.6 放大器的輸入誤差
    7.1.7 放大器輸出誤差
    7.1.8 自動調零(斬波器穩定)放大器
    7.2 差分和儀器用放大器
    7.2.1 差分放大器
    7.2.2 標準3運算放大器儀器用放大器
    7.3 放大器噪聲
    7.3.1 噪聲的起源和種類
    7.3.2 信噪比和噪聲繫數
    7.3.3 晶體管放大器的電壓和電流噪聲
    □ 7.3.4 晶體管的低噪聲設計
    7.3.5 場效應管噪聲
    7.3.6 低噪聲晶體管的選定
    □ 7.3.7 差分和反饋放大器的噪聲
    7.4 噪聲測量和噪聲源
    □ 7.4.1 無需噪聲源的測量
    □ 7.4.2 有噪聲源的測量
    □ 7.4.3 噪聲和信號源
    □ 7.4.4 帶寬限制和電壓均方根值的測量
    7.4.5 混合噪聲
    7.5 干擾:屏蔽和接地
    7.5.1 干擾
    7.5.2 信號接地
    □ 7.5.3 儀器之間的接地
    7.6 電路示例
    7.6.1 電路集錦
    7.7 補充題
    第8章 數字電子學
    8.1 基本邏輯概念
    8.1.1 數字與模擬
    8.1.2 邏輯狀態
    8.1.3 數碼
    8.1.4 門和真值表
    □ 8.1.5 門的分立電路
    8.1.6 門電路舉例
    8.1.7 有效電平邏輯表示法
    8.2 TTL 和CMOS
    8.2.1 一般門的分類
    8.2.2 IC門電路
    8.2.3 TTL和CMOS特性
    8.2.4 三態門和集電極開路器件
    8.3 組合邏輯
    8.3.1 邏輯等式
    8.3.2 最小化和卡諾圖
    8.3.3 用IC實現的組合功能
    8.3.4 任意真值表的實現
    8.4 時序邏輯
    8.4.1 存儲器件:觸發器
    8.4.2 帶時鐘的觸發器
    8.4.3 存儲器和門的組合:時序邏輯
    8.4.4 同步器
    8.5 單穩態觸發器
    8.5.1 一次觸發特性
    8.5.2 單穩態電路舉例
    8.5.3 有關單穩態觸發器的注意事項
    8.5.4 計數器的定時
    8.6 利用集成電路實現的時序功能
    8.6.1 鎖存器和寄存器
    8.6.2 計數器
    8.6.3 移位寄存器
    8.6.4 時序PAL
    8.6.5 各種時序功能
    8.7 一些典型的數字電路
    8.7.1 模n計數器:時間的例子
    8.7.2 多用LED數字顯示
    □ 8.7.3 恆星望遠鏡驅動
    □ 8.7.4 n脈衝產生器
    8.8 邏輯問題
    8.8.1 直流問題
    8.8.2 開關問題
    8.8.3 TTL和CMOS的先天缺陷
    8.9 電路示例
    8.9.1 電路集錦
    8.9.2 不合理電路
    8.10 補充題
    第9章 數字與模擬
    9.1 CMOS和TTL邏輯電路
    □ 9.1.1 數字邏輯電路家繫列的發展歷史
    9.1.2 輸入和輸出特性
    9.1.3 邏輯繫列之間的接口
    9.1.4 驅動CMOS和TTL輸入端
    9.1.5 用比較器和運算放大器驅動數字邏輯電路
    9.1.6 關於邏輯輸入的一些說明
    9.1.7 比較器
    9.1.8 用CMOS和TTL驅動外部數字負載
    9.1.9 與NMOS大規模集成電路的接口
    9.1.10 光電子
    9.2 數字信號和長線傳輸
    9.2.1 電路板上的連接
    9.2.2 板卡間的連接
    □ 9.2.3 數據總線
    9.2.4 驅動電纜
    9.3 模/數轉換
    9.3.1 模/數轉換概述
    9.3.2 數/模轉換器
    □ 9.3.3 時域(平均)D/A轉換器
    9.3.4 乘法D/A轉換器
    9.3.5 如何選擇D/A轉換器
    9.3.6 模/數轉換器
    9.3.7 電荷平衡技術
    □ 9.3.8 一些特殊的A/D和D/A轉換器
    9.3.9 A/D轉換器選擇
    9.4 A/D轉換示例
    9.4.1 16通道A/D數據采集繫統
    9.4.2 31/2位數字電壓計
    □ 9.4.3 庫侖計
    9.5 鎖相環
    9.5.1 鎖相環介紹
    □ 9.5.2 鎖相環設計
    □ 9.5.3 設計實例:倍頻器
    □ 9.5.4 鎖相環的捕捉和鎖定
    □ 9.5.5 鎖相環的一些應用
    9.6 偽隨機比特序列及噪聲的生成
    □ 9.6.1 數字噪聲的生成
    □ 9.6.2 反饋移位寄存器序列
    □ 9.6.3 利用最大長度序列生成模擬噪聲
    □ 9.6.4 移位寄存器序列的功率譜
    □ 9.6.5 低通濾波
    □ 9.6.6 小結
    □ 9.6.7 數字濾波器
    9.7 電路示例
    9.7.1 電路集錦
    9.7.2 不合理電路
    9.8 補充題
    第10章 微型計算機
    10.1 小型計算機、微型計算機與微處理器
    10.1.1 計算機的結構
    10.2 計算機的指令集
    10.2.1 彙編語言和機器語言
    10.2.2 簡化的8086/8指令集
    10.2.3 一個編程實例
    10.3 總線信號和接口
    10.3.1 基本的總線信號:數據、地址、選通
    10.3.2 可編程I/O:數據輸出
    10.3.3 可編程I/O:數據輸入
    10.3.4 可編程I/O:狀態寄存器
    10.3.5 中斷
    10.3.6 中斷處理
    10.3.7 一般中斷
    10.3.8 直接存儲器訪問
    10.3.9 IBM PC 總線信號綜述
    □ 10.3.10 同步總線通信與異步總線通信的比較
    10.3.11 其他微型計算機總線
    10.3.12 將外圍設備與計算機連接
    10.4 軟件繫統概念
    10.4.1 編程
    10.4.2 操作繫統、文件以及存儲器的使用
    10.5 數據通信概念
    10.5.1 串行通信和ASCII
    10.5.2 並行通信:Centronics,SCSI,IPI和GPIB(488) 585
    10.5.3 局域網
    □ 10.5.4 接口實例:硬件數據打包
    10.5.5 數字格式
    第11章 微處理器
    11.1 68008的詳細介紹
    11.1.1 寄存器、存儲器和I/O
    11.1.2 指令集和尋址
    11.1.3 機器語言介紹
    11.1.4 總線信號
    11.2 完整的設計實例:模擬信號均衡器
    11.2.1 電路設計
    11.2.2 編制程序:任務的確定
    11.2.3 程序編寫:詳細介紹
    □ 11.2.4 性能
    11.2.5 一些設計後的想法
    11.3 微處理器的配套芯片
    11.3.1 中規模集成電路
    11.3.2 外圍大規模集成電路芯片
    11.3.3 存儲器
    11.3.4 其他微處理器
    11.3.5 仿真器、開發繫統、邏輯分析器和評估板
    第12章 電氣結構
    12.1 基本方法
    12.1.1 面包板
    12.1.2 印制電路原型板
    12.1.3 繞線鑲嵌板
    12.2 印制電路
    12.2.1 印制電路板生產
    □ 12.2.2 印制電路板設計
    12.2.3 印制電路板器件安裝
    12.2.4 印制電路板的進一步考慮
    12.2.5 高級技術
    12.3 儀器結構
    12.3.1 電路板安裝
    12.3.2 機殼
    12.3.3 提示
    12.3.4 冷卻
    12.3.5 關於電子器件的注意事項
    12.3.6 器件采購
    第13章 高頻和高速技術
    13.1 高頻放大器
    13.1.1 高頻晶體管放大器
    □ 13.1.2 高頻放大器交流模型
    □ 13.1.3 高頻計算舉例
    13.1.4 高頻放大器參數
    □ 13.1.5 寬帶設計舉例
    □ 13.1.6 改進的交流模型
    □ 13.1.7 分流級聯對
    □ 13.1.8 放大器模塊
    13.2 射件
    13.2.1 傳輸線
    □ 13.2.2 短線、巴侖線和變壓器
    13.2.3 調諧放大器
    13.2.4 射件
    13.2.5 信號幅度或功率檢測
    13.3 射頻通信:AM
    13.3.1 通信基本概念
    13.3.2 幅度調制
    13.3.3 超外差接收機
    13.4 高級調制技術
    □ 13.4.1 單邊帶
    □ 13.4.2 頻率調制
    □ 13.4.3 頻移鍵控
    □ 13.4.4 脈衝調制技術
    13.5 射頻電路技巧
    □ 13.5.1 電路結構
    □ 13.5.2 射頻放大器
    13.6 高速開關
    13.6.1 晶體管模型
    13.6.2 仿真建模工具
    13.7 高速開關電路舉例
    □ 13.7.1 高壓驅動器
    □ 13.7.2 集電極開路總線驅動器
    □ 13.7.3 舉例:光電倍增器前置放大器
    13.8 電路示例
    13.8.1 電路集錦
    13.9 補充題
    第14章 低功耗設計
    14.1 引言
    14.1.1 低功耗應用
    14.2 電源
    14.2.1 電池類型
    14.2.2 插在牆上的便攜式電源
    □ 14.2.3 太陽能電池
    14.2.4 信號電流
    14.3 電源開關和微功耗穩壓器
    14.3.1 電源開關
    14.3.2 微功耗穩壓器
    14.3.3 參考地
    14.3.4 微功耗電壓參考和溫度傳感器
    14.4 線性微功耗設計技術
    14.4.1 微功耗線性設計
    14.4.2 分立器件線性設計舉例
    14.4.3 微功耗運算放大器
    14.4.4 微功耗比較器
    14.4.5 微功耗定時器和振蕩器
    14.5 微功耗數字設計
    14.5.1 CMOS
    14.5.2 CMOS低功耗保持
    14.5.3 微功耗微處理器及其外圍器件
    14.5.4 微處理器設計舉例:溫度記錄儀
    14.6 電路示例
    14.6.1 電路集錦
    第15章 測量與信號處理
    15.1 概述
    15.2 測量傳感器
    15.2.1 溫度
    15.2.2 光強度
    15.2.3 應變和位移
    15.2.4 加速度、壓力、力和周轉率(速度)
    15.2.5 磁場
    15.2.6 真空計
    15.2.7 粒子檢測器
    15.2.8 生物和化學電壓探針
    15.3 精度標準和精度測量
    □ 15.3.1 頻率標準
    15.3.2 頻率、周期和時間間隔測量
    □ 15.3.3 電壓和阻抗標準與測量
    15.4 限制帶寬技術
    15.4.1 信噪比問題
    15.4.2 信號平均和多通道計數
    15.4.3 信號周期化
    15.4.4 鎖定檢測
    15.4.5 脈衝高度分析
    15.4.6 時間幅度轉換器
    15.5 頻譜分析和傅裡葉變換
    15.5.1 頻譜分析儀
    15.5.2 離線頻譜分析
    15.6 電路示例
    15.6.1 電路集錦
    附錄A 示波器
    附錄B 數學工具回顧
    附錄C 5%精密電阻的色標
    附錄D 1%精密電阻
    附錄E 怎樣畫電路原理圖
    附錄F 負載線
    附錄G 晶體管的飽和
    附錄H LC 巴特沃茲濾波器
    附錄I 電子期刊和雜志
    附錄J IC前綴
    附錄K 數據手冊
    參考書目
    中英文術語對照
    查看全部↓

    精彩書摘

    《電子學(第二版)》:
    在這個基於微處理器的設備中,沒有使用復雜的旋鈕,而選擇了較為簡單的開關,每個開關其驅動一個單獨的並行端口位。許多設計者會在這方面偷一點懶,於是現在旋鈕的使用越來越少,甚至有完全取消旋鈕的趨勢,而由一對“上”、“下”按鈕來控制(例如一個微處理器控制的振蕩器)。如果我們也使用上述方法,將會失去那種用旋鈕控制的懷舊感覺。通過利用旋鈕在屏幕上選擇二進制存儲器、顯示地址和累加數,儀器會變得更加人性化。
    在微處理器儀器中得到控制的最簡單方法就是使用ADC輸入進行電壓轉換,可利用一個連接在+5 V(或者更好的參考電壓)和地之間的面板電壓計獲得該電壓。有一些價格適中,體積較小的8位ADC,芯片上還附帶8輸入的多路復用器和S/H。通常,會有一些輸入端未被使用,那麼可以用它們識讀一些面板控制信號。實際上,我們甚至可以利用一個ADC輸入端去讀出n個狀態位旋轉開關的狀態,隻需沿著開關的接觸點連成一個用n—1個相同電阻構成的電阻分壓器鏈,然後使用ADC讀出電壓值即可。
    ……
    查看全部↓

    前言/序言

    本書是哈佛大學的經典教材,自出版以來已被譯成多種語言版本。本書通過強調電子電路繫統設計者所需的實用方法,即對電路的基本原理、經驗準則以及大量實用電路設計技巧的全面總結,側重探討了電子學及其電路的設計原理與應用。書中不僅涵蓋了電子學通常研究的全部知識點,還補充了有關數字電子學中的大量較新應用及設計方面的要點內容。對高頻放大器、射頻通信調制電路設計、低功耗設計、帶寬壓縮以及信號的測量與處理等重要電路設計以及電子電路制作工藝設計方面的難點也做了通俗易懂的闡述。本書包含豐富的電子電路分析設計實例和大量圖表資料,內容全面且闡述透徹,是一本世界範圍內公認的電子學電路分析、設計及其應用的優秀教材。

    本書第一版通俗易懂,已獲得普遍好評並被廣泛采用。新版本重寫了關於微計算機與微處理器的章節,並著重修改了數字電子學、運算放大器與精度計算以及電路構造工藝等內容。對相應的表格也進行了修改和擴充。並且,書中新增了關於有源濾波器設計、開關電容濾波器、正交振蕩器、低下降穩壓器、開關電源、消弧電路、隔離放大器、SCR鎖存電路、地電位漂移、動態功率損耗、光電子學、RS-232接口電路、調制解調器、存儲器芯片、簡略歸零、調幅檢波、電池特性和傳感器的線性化等內容的章節。


    序言

    在過去的40多年裡,電子學及其技術比其他任何領域內的技術發展更為迅猛。這也是我們早在1980年就曾經試圖編寫一部關於電子學技術的教材的原因。這裡,我們用“技術”或“技巧”來表明對電路與實際器件的本質與應用方面的精通與掌握,而不是像一些常用的電子學教材那樣側重於探討電路及器件中的較抽像的理論部分。當然,在這種技術日新月異發展的領域中,探討其主要特點及基本組成部分又不免蒙受討論內容老套過時之責難。

    電子學及其技術發展的步伐並沒有令人們失望,但卻讓我們感慨萬千。本書第一版還墨跡未干的時候,在我們對這樣的陳述語句:“2 Kb經典2716 EPROM……,其價格大約是”還記憶猶新的時候,人們卻在市場上再也找不到這類器件,而新的EPROM是原來容量的64倍,價格卻低於的一半。因此,這也導致我們對本書進行了重大修改,以適應改進的器件與方法。我們完全重寫了關於微計算機與微處理器的章節(使用IBM PC與68008芯片),修改了關於PLD與新的HC與AC邏輯繫列的數字電子學章節,修改了關於運算放大器與精度設計的內容,這些內容反映了具有優越性能的場效應管作為運算放大器輸入級的可用性,還修改了關於CAD/CAM電路構造技術的章節。書中的每個表格也進行了修改,對其中一些表格還進行了重大修改。例如,在表4.1(運算放大器)中,隻保留了原有120個條目中的65%,並添加了135種新的運算放大器。

    借此機會,我們也根據讀者的建議以及使用本書第一版進行教學所得的經驗,對本書進行了修改。我們重寫了關於FET的一章(原有的章節太復雜)並將其調整至運算放大器這一章之前(在這些運算放大器中大量增加了場效應管的應用),並新增了一章來討論低功耗與微功耗設計(既含模擬部分,也含數字部分),這是一個雖重要但容易被忽視的部分。其他章節進行了廣泛地修改,另外還添加了許多新的表格,包括A/D與D/A轉換器,數字邏輯器件與低功率器件等。本書中電路圖的數量也增加了。全書現有78個表格和1000多個圖。

    在修改過程中,我們力圖保留本書第一版作為參考書或教材的通俗易懂性。正是由於這一點纔使本書的第一版能如此成功與暢銷。我們深知學生首次接觸電子學課程的難度,因為這一學科錯綜交織,而且缺少一種能按照邏輯條理學習知識、引導初學者的途徑。因此,在本書中附有大量參考條目。此外,本書還有一本配套的學生手冊。該手冊包含了許多電路設計實例、解釋、習題與實驗室練習以及對一些精選問題的解答。通過給學生提供一本補充材料,就能使本書不僅簡明扼要,而且內容詳實,這一點也正好滿足了那些將此書作為參考書的讀者的要求。

    我們衷心希望本書的新版本能滿足讀者(無論是學生還是工程師)的需求。我們也歡迎讀者提出建議與修改意見,並直接寄往:

    Paul Horowitz

    Physics Department

    Harvard University

    Cambridge, MA 02138

    在新版本的編寫過程中,我們得到了如下人員的幫助,在些一並表示衷心感謝。他們分別是Mike Aronson與Brian Matthews(AOX公司),John Greene(開普敦大學),Jeremy Avigad與Tom Hayes(哈佛大學),Peter Horowitz(EVI公司),Don Stern與Owen Walker。我們也非常感謝Jim Mobley的認真校對;感謝劍橋大學出版社的Sophia Prybylski與David Tranah的鼓勵與專注。我們還要感謝Rosenlaui出版公司的那些永不知疲倦的排版人員所做的工作。

    最後,根據現代法律方面的條文精神,我們提醒讀者閱讀以下所附的法律通告。

    Paul Horowitz

    Winfield Hill

    1989年3月


    查看全部↓



    "
     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部