[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 電子繫統設計――面向嵌入式硬件電路
    該商品所屬分類:圖書 -> 電子工業出版社
    【市場價】
    651-944
    【優惠價】
    407-590
    【作者】 馬洪連 
    【所屬類別】 電子工業出版社 
    【出版社】電子工業出版社 
    【ISBN】9787121346125
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    出版社:電子工業出版社
    ISBN:9787121346125
    版次:1

    商品編碼:12403121
    品牌:電子工業出版社
    包裝:平裝

    叢書名:嵌入式技術與應用叢書
    開本:16開
    出版時間:2018-07-01

    用紙:膠版紙
    頁數:280
    字數:448000

    正文語種:中文
    作者:馬洪連


        
        
    "

    內容簡介

    本書以培養會設計、能發展、具有創新精神和實踐能力的創新型工程實踐人纔為目的,全面、繫統地對嵌入式硬件電路設計技術,以及相關知識和應用實例進行介紹。通過本書的學習,讀者能夠初步了解並掌握嵌入式硬件電路設計的基本內容及實用技術。全書共10章,主要內容包括嵌入式處理器和嵌入式繫統簡介、基本電路設計、繫統前向通道檢測與信息獲取電路設計、人機交互接口電路設計、繫統輸出通道電路設計、通信接口電路設計、EDA與可編程邏輯器件應用、基於Altium Designer電路原理圖與PCB設計、Proteus仿真技術應用,以及電子繫統綜合設計實例。各章配有相應的例題和參考練習題,可供教學選用。

    作者簡介

    馬洪連,男,教授,大連理工大學軟件學院實驗中心主任,全國"嵌入式繫統專家委員會”委員。講授嵌入式繫統設計與應用 、計算機組成原理與結構、微型計算機接口技術等課程。研究方向為:嵌入式繫統設計及應用技術、智能繫統與智能儀器儀表技術、無線通信技術。獲全國"寶鋼優秀教師獎”稱號(教育部)、 遼寧省"十一五”規劃優秀教育研究成果二等獎、第四屆遼寧省高等學校優秀學術成果二等獎等。

    目錄

    第1章嵌入式處理器與嵌入式繫統簡介 (1)
    1.1 概述 (1)
    1.2 嵌入式處理器 (2)
    1.2.1 微控制器 (2)
    1.2.2 微處理器 (8)
    1.2.3 數字信號處理器 (12)
    1.2.4 片上繫統 (12)
    1.3 嵌入式繫統簡介 (14)
    1.3.1 嵌入式繫統結構 (14)
    1.3.2 嵌入式硬件繫統 (15)
    1.3.3 嵌入式軟件繫統 (15)
    1.4 嵌入式繫統開發環境與開發技術 (18)
    1.4.1 嵌入式繫統開發流程 (18)
    1.4.2 嵌入式繫統開發技術 (19)
    1.4.3 嵌入式繫統調試技術 (20)
    1.4.4 IAR開發環境簡介 (20)
    習題與思考題 (20)
    第2章常用電子電路的設計與實現 (22)
    2.1 繫統電源部分的設計與實現 (22)
    2.1.1 直流穩壓電源概述 (22)
    2.1.2 串聯型線性直流穩壓電源 (23)
    2.1.3 開關型直流穩壓電源 (26)
    2.1.4 交流電和電池供電切換電路 (34)
    2.1.5 穩壓電源設計實例 (35)
    2.2 典型信號發生電路設計 (38)
    2.2.1 函數信號發生器 (38)
    2.2.2 電壓-頻率轉換電路 (41)
    2.3 常用電路的設計 (42)
    2.3.1 聲控電路及其設計 (42)
    2.3.2 光控電路及其設計 (43)
    2.3.3 紅外遙控電路及其設計 (44)
    習題與思考題 (46)
    第3章繫統前向通道電路設計 (47)
    3.1 傳感器及應用技術 (47)
    3.1.1 概述 (47)
    3.1.2 常用傳感器及應用技術 (48)
    3.2 自動識別技術及應用 (56)
    3.2.1 概述 (56)
    3.2.2 條形碼及應用 (57)
    3.2.3 無線射頻識別技術及應用 (60)
    3.3 模擬信號檢測電路設計 (65)
    3.3.1 檢測繫統結構 (65)
    3.3.2 多路信號選擇電路 (67)
    3.3.3 信號調理電路設計 (68)
    3.3.4 模 / 數轉換器及應用 (70)
    3.4 數字信號與非電量參數的檢測技術 (78)
    3.4.1 開關量信號的檢測 (78)
    3.4.2 時間型信號的檢測 (79)
    3.4.3 頻率及周期型信號的檢測 (79)
    習題與思考題 (80)
    第4章人機交互接口電路設計 (82)
    4.1 按鍵式接口電路設計 (82)
    4.1.1 概述 (82)
    4.1.2 鍵盤及接口電路設計 (83)
    4.2 顯示器接口電路設計 (84)
    4.2.1 LED顯示器接口電路設計 (85)
    4.2.2 液晶顯示器接口設計及應用 (89)
    4.3 觸摸屏及接口電路設計 (107)
    4.3.1 電阻式觸摸屏 (107)
    4.3.2 電容式觸摸屏 (109)
    4.3.3 紅外線式觸摸屏 (109)
    4.3.4 觸摸屏接口電路設計實例 (111)
    習題與思考題 (113)
    第5章繫統輸出通道電路設計 (114)
    5.1 模擬量輸出通道 (114)
    5.1.1 概述 (114)
    5.1.2 D/A轉換器及應用 (115)
    5.1.3 功率驅動電路設計 (124)
    5.2 開關量輸出及驅動電路設計 (125)
    5.3 電機驅動電路設計實例 (127)
    5.3.1 直流電機控制 (127)
    5.3.2 步進電機控制 (128)
    習題與思考題 (131)
    第6章 通信接口電路設計 (132)
    6.1 概述 (132)
    6.2 有線通信接口電路設計 (134)
    6.2.1 通用異步收發器 (134)
    6.2.2 RS-232C標準串行通信 (136)
    6.2.3 通用串行總線(USB) (138)
    6.2.4 單總線串行通信 (140)
    6.2.5 內部集成電路串行總線通信 (146)
    6.2.6 串行外圍設備接口 (157)
    6.2.7 控制器局域網總線 (162)
    6.2.8 RS-485標準串行通信 (164)
    6.3 無線通信接口技術 (166)
    6.3.1 藍牙無線通信技術 (166)
    6.3.2 ZigBee無線通信技術 (168)
    6.3.3 Wi-Fi移動通信技術 (170)
    6.3.4 2G/3G/4G/5G現代通信技術 (171)
    習題與思考題 (173)
    第7章 EDA與可編程邏輯器件應用 (175)
    7.1 電子設計自動化技術EDA (175)
    7.1.1 概述 (175)
    7.1.2 常用的EDA工具 (177)
    7.2 硬件描述語言 (179)
    7.2.1 VHDL描述語言 (179)
    7.2.2 Verilog HDL描述語言 (181)
    7.2.3 Verilog HDL和VHDL的比較 (182)
    7.3 可編程邏輯器件簡介 (182)
    7.4 EDA的設計流程與相關開發環境 (186)
    7.4.1 EDA的設計流程 (186)
    7.4.2 FPGA/CPLD開發工具軟件簡介 (188)
    7.5 微控制器與FPGA並行通信接口設計 (192)
    7.5.1 單片機與FPGA並行單向通信 (192)
    7.5.2 單片機與FPGA並行雙向通信 (194)
    習題與思考題 (197)
    第8章 基於Altium Designer的電路原理圖與印制電路板設計 (198)
    8.1 Altium Designer 10開發軟件簡介 (198)
    8.2 電路設計基本知識 (199)
    8.2.1 電路原理圖設計器件庫簡介 (199)
    8.2.2 印制電路板設計的基礎知識 (201)
    8.3 電路原理圖設計 (204)
    8.3.1 電路原理圖的設計流程 (204)
    8.3.2 電路原理圖設計注意事項 (205)
    8.3.3 電路原理圖應用設計舉例 (205)
    8.4 印制電路板的設計 (213)
    8.4.1 印制電路板設計的工作流程 (213)
    8.4.2 印制電路板的設計實例 (215)
    習題與思考題 (230)
    第9章 Proteus電路設計與仿真技術應用 (231)
    9.1 Proteus軟件概述 (231)
    9.1.1 Proteus軟件特點 (231)
    9.1.2 Prot器件資源庫 (232)
    9.2 Proteus ISIS軟件功能簡介 (233)
    9.2.1 Proteus ISIS的安裝與啟動 (233)
    9.2.2 Proteus ISIS工作界面與菜單功能 (235)
    9.2.3 Proteus ISIS交互式仿真軟件基礎 (239)
    9.3 基於Proteus ISIS的電路設計基礎 (241)
    9.4 Proteus ISIS電路設計應用實例 (244)
    9.4.1 運算放大器電路設計應用實例 (244)
    9.4.2 基於微控制器的流水彩燈設計應用實例 (248)
    習題與思考題 (254)
    第10章 電子繫統設計應用實例 (255)
    10.1 電子繫統設計概述 (255)
    10.2 多路溫度監控報警繫統設計應用實例 (257)
    參考文獻 (269)

    查看全部↓

    前言/序言

    目前,國內高校IT相關專業的學生普遍存在一種軟件編程能力較強、硬件設計能力偏弱的現像。隨著社會對嵌入式繫統、物聯網工程,以及無線通信設備、智能儀器儀表和智能裝置、工業自動化等設計人員需求的日益提高,社會急需能夠獨立進行現代電子繫統設計,尤其是嵌入式硬件繫統設計方面的人纔。

    本書從設計和實用的角度出發,首先從構成電子繫統的核心部件和相關電路入手,介紹嵌入式處理器及繫統的組成,以及常用電子電路的設計;然後講述常用傳感器及應用技術,繫統前向通道的信號感知識別和調理電路,A/D轉換器,人機交互接口電路的組成與應用,繫統後向輸出執行電路,以及現代EDA工具,現代電子電路設計與虛擬仿真方面的知識;最後介紹電子繫統的設計方法、設計步驟,並給出了典型的電子繫統設計實例。本書具有如下特點:

    (1)本書繫統全面,注重理論與實踐相結合,針對專業性較強和學生缺乏感性認識的教學內容,輔以圖、表、文等並用的教學手段,加深學生對電子繫統設計的理解。

    (2)內容層次清楚、規範,從設計的角度出發,注重學生綜合能力的培養。

    (3)將新理念、先進技術和教學實踐相結合,側重創新型人纔的培養。

    全書共10章:分別為嵌入式處理器與嵌入式繫統簡介,常用電子電路設計與實現,繫統前向通道電路設計,人機交互接口電路設計,繫統輸出通道電路設計,通信接口電路設計,EDA與可編程邏輯器件應用,基於Altium Designer電路原理圖與印制電路板設計,Proteus仿真技術應用,電子繫統綜合設計實例。

    本書作者多年來一直從事電子技術、嵌入式繫統設計與應用等專業的教學和科研工作,主持和參與了多項科研項目的開發和設計方面的工作,所以在本書的編寫過程中精選內容,力求符合從事現代電子技術設計與開發的初學者的特點,做到概念清晰、理論聯繫實際;在敘述方法上,力求由淺入深、通俗易懂、便於學習,以使讀者能在較短的時間內迅速掌握相關知識,起到事半功倍的作用。

    本書適合作為高等院校相關專業的教材,也可供從事現代電子技術開發設計人員及愛好者參考。

    作者首先感謝電子工業出版社的編輯,是他們的大力支持,纔能使本書很快出版發行。本書在編寫的過程中參考和引用了相關的參考書、文獻和文章,在此向相關作者表示深切的謝意。

    由於現代電子技術的發展非常迅速,新技術、新成果不斷湧現和更新,書中難免存在錯誤、疏漏和不妥之處,希望廣大讀者多加諒解,並及時聯繫作者,以期在後續版本中進行完善。


    作 者

    2018年5月於大連


    查看全部↓



    "
     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部