[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

     经部  史类  子部  集部  古籍管理  古籍工具书  四库全书  古籍善本影音本  中国藏书
  •  文化

     文化评述  文化随笔  文化理论  传统文化  世界各国文化  文化史  地域文化  神秘文化  文化研究  民俗文化  文化产业  民族文化  书的起源/书店  非物质文化遗产  文化事业  文化交流  比较文化学
  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

     执业资格考试用书  室内设计/装潢装修  标准/规范  建筑科学  建筑外观设计  建筑施工与监理  城乡规划/市政工程  园林景观/环境艺术  工程经济与管理  建筑史与建筑文化  建筑教材/教辅  英文原版书-建筑
  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

     园艺  植物保护  畜牧/狩猎/蚕/蜂  林业  动物医学  农作物  农学(农艺学)  水产/渔业  农业工程  农业基础科学  农林音像
  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

  •  保健/养生

  •  体育/运动

  •  手工/DIY

  •  休闲/爱好

  •  英文原版书

  •  港台图书

  •  研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学

  •  音乐
     音乐理论

     声乐  通俗音乐  音乐欣赏  钢琴  二胡  小提琴
  • 硬件電路設計與電子工藝基礎(第2版):零基礎電子技術課程設計
    該商品所屬分類:圖書 -> 電子工業出版社
    【市場價】
    430-624
    【優惠價】
    269-390
    【作者】 曹文 
    【所屬類別】 電子工業出版社 
    【出版社】電子工業出版社 
    【ISBN】9787121350931
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    出版社:電子工業出版社
    ISBN:9787121350931
    版次:2

    商品編碼:12520005
    品牌:電子工業出版社
    包裝:平裝

    開本:16開
    出版時間:2019-04-01
    用紙:膠版紙

    頁數:316
    字數:570000
    正文語種:中文

    作者:曹文

        
        
    "

    內容簡介

    本書圍繞“電路設計”“電子工藝”兩個並重的關鍵詞,本著夠用、實用、易用的原則,貫穿完整的硬件電路設計、仿真、制作、裝接、調試流程,帶動讀者循序漸進地學習相關知識與技能,達到拓展知識面、提升工程實踐能力的目的,也為後續更專業的學習夯實基礎。 全書共14章,主要包括:電子繫統設計概論器件的分類、功能及選型,模擬電路功能模塊設計,數字設計,電源電路設計基礎,電路設計與軟件仿真,計算機輔助電路PCB設計,PCB加工及制作器件裝配、焊接及拆焊器件參數測試、質量檢測及等效代換,電路繫統調試工藝,模擬電路課程設計示例,數字電路課程設計示例,電源電路課程設計示例等。作為一個從理論到實踐再到創新的學習、訓練體繫,本書與電路、模電、數電、電工學等基礎課程形成緊密互補的依托關繫,同時為傳統的電路、電子、電工實驗注入一股開放、創新、強化的新鮮力量。本書提供配套電子課件、習題解答、授課視頻、器件文檔等豐富教學資源。

    作者簡介

    曹文,西南科技大學信息工程學院電子工程繫,副教授。四川省電子學會會員。長期講授電子技術綜合訓練、電子設計基礎、模擬電子技術、數字電子技術等課程,曾獲得西南科技大學第一屆教學質量獎。

    目錄

    目 錄

    第1章 電子繫統設計概論 1
    1.1 電子繫統設計的基本工作流程 1
    1.2 分設計任務、查找參考方案、初步擬定設計方案 2
    1.3電路仿真及繫統集成仿真 2
    1.4 設計電路PCB 4
    1.器件選型 5
    1.6 加工、制作電路PCB 6
    1.7 電路的裝配、焊接及調試 6
    1.8 修改、升級原有設計方案,整理並完成設計文檔 6
    1.9 電子電路課程設計概述 6
    習題 7
    第2章 器件的分類、功能及選型 8
    2.器件分類、參數及封裝 8
    2.1.器件的參數標稱值 8
    2.1.器件的型號及參數標注 9
    2.2 電阻 13
    2.2.1 常見的電阻類型 13
    2.2.2 電阻的參數及選型 15
    2.2.3 電阻的串聯與並聯 16
    2.2.4 排阻 17
    2.2.5 保險管 18
    2.2.6 敏感電阻 19
    2.3 電位器 21
    2.3.1 電位器的內部結構及工作原理 21
    2.3.2 電位器的基本工作電路 22
    2.3.3 常用電位器的分類 22
    2.3.4 電位器的參數及選型 25
    2.4 電容 27
    2.4.1 電容的功能 28
    2.4.2 常見的電容類型 28
    2.4.3 電容的參數及選型 33
    2.4.4 電容的串聯與並聯 35
    2.5 電感 36
    2.5.1 電感的結構 37
    2.5.2 電感的主要參數 40
    2.5.3 電感的串聯與並聯 41
    2.5.4 常用電感 42
    2.6 變壓器 44
    2.6.1 變壓器的種類、特性及設計 44
    2.6.2 變壓器的參數 44
    2.6.3 變壓器的分類 45
    2.7 晶振 46
    2.7.1 無源晶振 47
    2.7.2 有源晶振 47
    2.7.3 常用的晶振頻率 48
    2.8 電聲器件 48
    2.8.1 麥克風 48
    2.8.2 揚聲器 49
    2.8.3 蜂鳴器 50
    2.9 半導體二極管 51
    2.9.1 二極管的結構工藝及封裝 51
    2.9.2 二極管的分類 52
    2.9.3 二極管的參數及選型 55
    2.10 發光二極管 56
    2.10.1 LED的外形特征 56
    2.10.2 LED應用電路 56
    2.11 三極管(雙極型晶體管) 57
    2.11.1 三極管的常見類型 58
    2.11.2 三極管型號的識別 58
    2.11.3 三極管的選用原則及注意事項 58
    2.12 場效應管 59
    2.12.1 場效應管的分類 59
    2.12.2 MOSFET的正確使用 59
    2.13 集成芯片 59
    2.13.1 常用集成芯片的基本分類及使用 60
    2.13.2 集成芯片的型號命名規則 60
    2.13.3 常用集成芯片的封裝及引腳排列規律 61
    2.13.4 集成芯片的正確使用 62
    2.14 接插件 63
    2.14.1 排針與排插 64
    2.14.2 排針與杜邦線 64
    2.14.3 接插件的防獃設計 65
    2.14.4 集成芯片插座 65
    2.14.5 其他常用接插件 67
    2.15 開關與繼電器 67
    2.15.1 翻轉開關 67
    2.15.2 自復位按鈕 71
    2.15.3 電磁繼電器 72
    2.15.4 開關的機械抖動與消抖 73
    習題 75
    第3章 模擬電路功能模塊設計 76
    3.1 模擬電路的典型結構 76
    3.2 集成運放基礎 77
    3.2.1 集成運放電路的實用分析方法及步驟 77
    3.2.2 集成運放的電源供電 77
    3.2.3 集成運放的輸出調零 78
    3.2.4 集成運放的負載驅動能力 79
    3.3 電壓放大及轉換電路設計 79
    3.3.1 同相比例運算放大電路 79
    3.3.2 同相交流放大電路 80
    3.3.3 反相比例運算放大電路 81
    3.3.4 反相交流放大電路 81
    3.3.5 交流信號分配電路 82
    3.3.6 反相加法電路 82
    3.3.7 差動減法電路 83
    3.3.8 儀表放大器電路 84
    3.3.9 反相積分電路 84
    3.3.10 反相微分電路 85
    3.3.11 峰值檢測電路 85
    3.3.12 精密整流電路 86
    3.3.13 電流-電壓轉換電路 87
    3.3.14 電壓-電流轉換電路 87
    3.4 電壓比較器電路設計 88
    3.4.1 單限電壓比較 88
    3.4.2 遲滯電壓比較 90
    3.4.3 窗口電壓比較 91
    3.5 功率放大電路設計 92
    3.5.1 OTL功放 92
    3.5.2 OCL功放 93
    3.5.3 BTL功放 94
    3.6 波形發生器電路設計 94
    3.6.1 正弦波振蕩電路 94
    3.6.2 矩形波振蕩電路 96
    3.6.3 矩形波-三角波振蕩電路 97
    3.7 晶體管驅動電路設計 98
    3.7.1 NPN管實現信號反相 98
    3.7.2 NPN型三極管功率負載驅動電路 98
    3.7.3 PNP型三極管功率負載驅動電路 99
    3.7.4 H橋驅動電路 99
    3.8 有源濾波電路設計 99
    3.8.1 濾波電路的計算機輔助設計 100
    3.8.2 低通濾波電路(LPF) 102
    3.8.3 高通濾波電路(HPF) 103
    3.8.4 帶通濾波電路(BPF) 104
    3.8.5 帶阻濾波電路(BEF) 104
    習題 105
    第4章 數字設計 106
    4.1 CMOS邏輯門 106
    4.1.1 邏輯門等效替換、多餘引腳的處理 107
    4.1.2 提高CMOS邏輯門的驅動能力 108
    4.2 集成組合邏輯器件的設計應用 108
    4.2.1 二進制譯碼器74HC138 108
    4.2.2 顯示譯碼器 110
    4.2.3 數值比較器74HC85 112
    4.2.4 數據選擇器74HC151 113
    4.3 計數器電路設計 114
    4.3.1 同步計數器74HC160/161 114
    4.3.2 可逆計數器74HC192/193 115
    4.3.3 計數器的級聯擴展設計 115
    4.4 移位寄存器電路設計 116
    4.4.1 74HC164 116
    4.4.2 74HC595 117
    4.4.3 74HC165與74HC166 117
    4.4.4 74HC194 118
    4.4.5 CD4017 120
    4.5 鎖存器設計 121
    4.6 觸發器設計 122
    4.7 單穩態觸發器設計 123
    4.7.1 不可重復觸發單穩態觸發器 123
    4.7.2 可重復觸發單穩態觸發器 124
    4.8 多諧振蕩電路設計 124
    4.8.1 CD4047構成多諧振蕩電路 124
    4.8.2 CD4060構成多諧振蕩/分頻電路 124
    4.8.3 邏輯門構成多諧振蕩電路 125
    4.8.4 采用晶振的多諧振蕩電路 127
    4.9 模擬開關設計 129
    4.9.1 4路雙向模擬開關74HC4066 129
    4.9.2 單8/雙4路模擬開關ADG608/609 130
    4.10 555定時器設計 130
    4.10.1 多諧振蕩電路設計 130
    4.10.2 單穩態電路設計 131
    4.10.3 施密特觸發器設計 132
    習題 133
    第5章 電源電路設計基礎 134
    5.1 線性直流電源電路設計 134
    5.1.1 整流電路 134
    5.1.2 濾波電路 136
    5.1.3 電壓基準TL431 137
    5.1.4 串聯反饋型穩壓電源電路 138
    5.1.5 三端集成穩壓器 138
    5.1.6 低壓差LDO集成穩壓電路 139
    5.2 開關電源電路 139
    5.2.1 降壓型BUCK電路 140
    5.2.2 升壓型BOOST電路 140
    5.2.3 負電源轉換電路 141
    5.3 電流檢測電路設計 141
    習題 142
    第6章 電路設計與軟件仿真 143
    6.1 仿真軟件的基本操作 143
    6.1.1 軟件使用須知 143
    6.1.2 軟件操作界面 143
    6.1.3 器件庫 145
    6.1.4 虛擬仿真儀器庫 148
    6.2 模擬電路的仿真 148
    6.2.1 放置與刪除電氣連線、電氣節點 149
    6.2.2 設置參考地、直流電源、信號源 149
    6.2.3 虛擬示波器的設置 151
    6.2.4 虛擬萬用表的設置 155
    6.2.5 電位器的參數調整 155
    6.2.6 模擬電路的仿真、調試 156
    6.3 數字電路的仿真 156
    6.3.1 數字集成芯片 156
    6.3.2 時鐘源、電源及數字地 157
    6.3.3 虛擬函數信號發生器 158
    6.3.4 虛擬邏輯分析儀 158
    6.3.5 運行數字電路仿真 159
    6.3.6 繪制總線 160
    6.3.7 按鈕與開關在數字電路中的應用 162
    6.4 支電路 163
    6.4.1 創建支電路 163
    6.4.2 支電路的內部電路搭建 163
    6.4.3 支電路輸入/輸出端口的設定 163
    6.4.4 調用支電路進行仿真 164
    習題 164
    第7章 計算機輔助電路PCB設計 165
    7.1 PCB設計概述 165
    7.1.1 PCB的演變歷史 165
    7.1.2 PCB設計的任務及要求 165
    7.1.3 基於Altium Designer的PCB設計流程 166
    7.2 電路原理圖設計 166
    7.2.1 新建並保存PCB工程文件、電路原理圖文件 167
    7.2.2 加載原理圖庫文件 168
    7.2.3 原器件在繪圖工作區中的操作 170
    7.2.4 電氣連線 177
    7.3 設計PCB 179
    7.3.1 PCB設計的基本流程 179
    7.3.2 新建PCB文件 180
    7.3.3 PCB圖層的概念 180
    7.3.4 PCB的長度計量單位 181
    7.3.5 PCB板框的規劃設計 182
    7.3.6 將電路原理圖導入PCB設計文件 183
    7.3.器件在PCB中的布局 185
    7.3.8 設定PCB的布線規則 187
    7.3.9 對PCB進行電氣布線 190
    7.4 編輯原器件 196
    7.4.1 新建原理圖庫文件 196
    7.4.2 創建並編輯原器件 196
    7.4.3 修改並編輯繫統自帶的原器件 200
    7.5 創建PCB器件 202
    7.5.1 新建並保存PCB庫文件 202
    7.5.2 PCB器件的創建流程 202
    7.5.3 加載自制的PCB封裝庫文件 206
    習題 206
    第8章 PCB加工及制作工藝 207
    8.1 PCB制板工藝概述 207
    8.1.1 敷銅板 207
    8.1.2 PCB 208
    8.2 絲網印刷制板工藝 209
    8.3 手繪制板工藝 210
    8.4 紫外曝光制板工藝 210
    8.5 雕刻制板工藝 211
    8.5.1 手工雕刻制板工藝 211
    8.5.2 機械雕刻制板工藝 212
    8.5.3 激光雕刻制板工藝 212
    8.6 熱轉印制板工藝 212
    8.6.1 熱轉印制板工藝的特點 213
    8.6.2 針對熱轉印制板工藝對PCB進行修改 213
    8.6.3 熱轉印制板工藝的基本流程 214
    8.7 金屬墨滴制板工藝 219
    8.8 外協加工制板工藝 219
    習題 219
    第9器件裝配、焊接及拆焊工藝 220
    9.1 裝配工藝 220
    9.1.1 器件在PCB中的插裝 220
    9.1.器件插裝前的準備工作 222
    9.1.器件插裝過程中的典型故障 224
    9.2 常規電子焊接工藝 225
    9.2.1 電子焊接工藝概述 225
    9.2.2 常用焊接工藝的分類 225
    9.2.3 錫焊的基本條件 226
    9.2.4 焊料 227
    9.2.5 助焊劑 228
    9.2.6 電烙鐵 229
    9.2.7 其他焊接輔助工具 234
    9.2.8 手工焊接工藝 239
    9.2.9 器件的焊接工藝 242
    9.3 拆焊工藝 244
    9.3.1 毀壞式拆焊工藝 244
    9.3.2 手工逐點拆焊工藝 244
    9.3.3 局部集中加熱拆焊工藝 246
    9.3.4 拆焊工藝的特點 247
    9.4 表面貼裝焊接工藝 247
    9.4.1 器件的特點 247
    9.4.2 器件的手工焊接及拆焊工藝 248
    習題 252
    第10器件參數測試、質量檢測及等效代換 253
    10.1 器件的測量與測試 253
    10.1.1 固定電阻的檢測 253
    10.1.2 固定電阻的故障判別及其替換 255
    10.1.3 敏感電阻的檢測 255
    10.1.4 電位器的檢測 256
    10.2 電容的測量與測試 257
    10.2.1 使用數字萬用表對電容進行參數測試 257
    10.2.2 使用模擬萬用表對電容進行性能評估及故障檢測 258
    10.2.3 電容的故障類型及判別方法 258
    10.2.4 采用觀察法識別電容故障 259
    10.2.5 電容的等效代換原則 259
    10.3 電感與工頻變壓器的檢測 260
    10.3.1 電感的故障類型及檢測 260
    10.3.2 工頻變壓器的檢測 260
    10.3.3 工頻變壓器的主要故障及維修 261
    10.4 二極管的識別與測試 262
    10.4.1 利用數字萬用表的二極管擋測試普通二極管 262
    10.4.2 利用模擬萬用表的歐姆擋測試普通二極管 262
    10.4.3 穩壓二極管的檢測 262
    10.4.4 LED的檢測 263
    10.4.5 整流橋堆的檢測 263
    10.5 三極管的引腳識別及質量檢測 263
    10.5.1 三極管的引腳排列規律 264
    10.5.2 雙極型三極管的檢測 264
    10.5.3 MOSFET場效應管的檢測 265
    10.6 集成芯片的測試 266
    10.7 駐極體麥克風的簡單性能檢測 266
    10.8 器件的檢測 266
    習題 266
    第11章 電路繫統調試工藝 267
    11.1 電路繫統調試人員需要具備的基本技能 267
    11.2 常用的電路調試儀器儀表 268
    11.3 電路繫統調試的基本步驟 269
    11.3.1 預檢查 269
    11.3.2 通電調試 270
    11.3.3 整機聯調 272
    11.4 電路調試過程中的常見故障排查 272
    11.4.1 觀察法 273
    11.4.2 測量法 274
    11.4.3 替換法 276
    習題 276
    第12章 模擬電路課程設計示例 277
    第13章 數字電路課程設計示例 283
    第14章 電源電路課程設計示例 289
    附錄 298
    附錄A 模擬電器件的內部結構及引腳排列 298
    附錄B 常用CMOS數字集成邏輯芯片的型號及功能 299
    附錄器件常見封裝前綴的含義及尺寸 300
    附錄D 器件封裝的外形尺寸 300
    附錄E 常用穩壓二極管的標稱穩壓值 301
    附錄F CMOS邏輯門的電氣符號及型號 301
    附錄G Altium Designer軟件的常用原器件 302
    附錄H 器件在Altium Designer軟件中的封裝參數(單位:mil) 303
    參考文獻 306

    查看全部↓

    前言/序言

    第2版前言
    在當前國內的高等學校中,以新工科建設為引領的教學改革如火如荼、方興未艾,基礎知識全面、工程能力扎實的畢業生備受用人企業的青睞,這也促使在新一輪人纔培養方案調整中,很多高校在壓縮理論學時的基礎上,大幅增加課程設計、創新型實驗等實踐性教學環節的比重,力圖培養出更多動手能力強、創新意識突出、綜合素質全面的高質量工程技術人纔,以滿足企業的真實需求。
    電子技術課程設計環節有別於傳統的驗證型電子技術實驗,其強調工程實踐與理論基礎的緊密融合,是電類、近電類專業學生進行工程技能訓練的重要環節。在傳統課程體繫下,具有電路原理、模擬電子技術、數字電子技術等課程理論基礎的學生受指導教材匱乏、整體重視不夠等因素的制約,在面對一個實際的電子技術課程設計題目時,往往無從下手。作者在整理、編寫電子技術課程設計資料時,重點突出了“實作”、“實操”和“實用”特色,將電路設計與仿真器件型號及參數選擇、電路PCB設計與加工制作、電路裝配焊接工藝、電路調試及參數測試工藝等知識納入交叉融合的有機整體,並按照真實的工作流程連貫地展示給讀者,旨在提供基礎、完整、繫統的入門培訓與設計指導,使讀者能夠熟悉電路及電子產品設計流程,掌握基本的工作方法與技巧,降低或消除對硬件電路繫統設計的擔憂與畏懼。
    與第1版相比,第2版教材的調整幅度較大,主要涉及以下改進。
    (1)刪除在電子技術課程設計環節很少涉及的知識點,如電池選擇、驗電筆使用等。
    (2)新編模擬電路課程設計示例、電源電路課程設計示例等全新章節,與教材第3~5章前後呼應。
    (3)仿真軟件升級為Multisim 14,同時采用漢化版本進行講解。
    (4)刪除了一些較為重復、啰嗦的語言表述內容,力圖使內容更加清晰明了,同時壓縮了教材的篇幅。
    (5)修改或更換第1版教材中清晰度較差的部分插圖。
    (6)更加注重相關知識點之間的關聯引用。
    (7)修正了第1版教材中的一些較為隱蔽的錯誤。
    全書由西南科技大學尚麗平教授主審,西南科技大學信息工程學院曹文對全書進行了總體規劃與設計,並編寫第1~2章;西南大學電子信息工程學院賈鵬飛編寫第5~6章、第12~14章;西南科技大學國防科技學院楊超編寫第9章;西南科技大學信息工程學院劉春梅編寫第3~4章;南京農業大學工學院李林編寫第7章;西南科技大學信息工程學院蔡強明編寫第11章和附錄A~H;第10 章由綿陽師範學院劉剛編寫;深圳捷多邦科技有限公司與曹文聯合編寫第8章。西南科技大學信息工程學院學生張草林、胡乾臣、何柏榆參與了教材內容的修改與校對工作,並設計制作教材的網站資源。
    在本教材編寫過程中,得到了四川輕化工大學國家傑出青年科學基金獲得者庹先國教授,電子科技大學自動化工程學院姜書艷教授,重慶大學微電子與通信工程學院何偉教授,南京大學電子信息專業國家級實驗教學示範中心莊建軍主任,西南科技大學信息工程學院姚遠程教授、黎恆高級工程師和理學院李斌博士,長虹電子集團國家級技能大師工作室專家何金華的悉心指導;湖南科技學院廖朝陽老師、南京工程學院褚南峰老師、成都醫學院人文信息管理學院楊勇老師對本書的編寫提出了很多寶貴意見,在此表示衷心感謝。
    本書提供配套電子課件、習題解答、授課視頻、器件文檔等豐富教學資源,敬請登錄華信教育資源網(http://www.hxedu.com.cn)注冊後下載,也可以聯繫本書責任編輯(010-88254113,wangxq@phei.com.cn)索取。
    美國德州儀器(TI)公司中國大學計劃部的謝勝祥工程師為本書的編寫提供了大量有益的素材、資料,同時還就本書的內容提出了建設性的修改意見。
    為了讓教材圖文並茂、通俗易懂,本書在編寫過程中廣泛參閱了許多相關文獻資料,但限於篇幅,無法一一列出,特別是很多生動、形像的圖片和資料經過多次傳播已經無法獲悉原作者及出處,在此特向所引資料的原作者們表示深深的敬意與感謝!
    本書獲得2017年度西南科技大學教材出版項目(17jczz07)的資助,同時還得到了教育部高等學校電子信息類專業教學指導委員會2015年度“重大、熱點、難點問題”研究課題的支持。
    電子行業及高校教學改革的高速發展有目共睹,但限於作者自身的水平和經驗,懇請廣大讀者朋友踊躍批評指正書中出現的錯誤及不足之處。對本書的任何意見和建議,敬請發送郵件至 caowen@swust.edu.cn、530149775@qq.com,我們會在後續的印刷或再版環節及時糾正與改進!
    作 者
    2019年4月
    適用課程:理工類高等學校、高職學院、技師學院、職業技能培訓學校開設的電子技術課程設計、電子繫統設計、電子設計基礎、電子技術綜合訓練、電子工藝等課程的理論與實踐教學課程。
    參考學時:按照電路器件選型→電路仿真→PCB設計→PCB制作→焊接與裝配→電路調試→撰寫設計(實訓)報告的完整主線,可以選擇16、24、32等不同學時:
    序 號 基本教學內容 本 書 章 節 推 薦 學 時 練習題數量
    1 電子繫統設計概述 第1章 1~2 0
    2 模擬電路設計方法及特點 第3章、第5章 1~2 1
    3 模擬電路的仿真 第6章 1~2 2
    4 數字電路設計方法及特點 第4章 1~2 1
    5 數字電路的仿真 第6章 1~2 2
    器件選型及測試 第2章、第10章 2~4 3
    7 電路原理圖設計 第7章 1~2 1
    8 PCB設計 第7章 2 1
    9 原理圖及器件設計 第7章 0~2 2
    10 PCB加工與制作 第8章 1~4 1
    11 電子焊接、裝配 第9章 2 1
    12 電路繫統調試 第11章 1~4 2
    13 撰寫課程設計報告 第12、13、14章 1~2 1
    在以學生為主體的課程設計環節,指導教師可根據實際的設計工作量、設計題目的難易程度、是否要求硬件裝調等具體安排,在16~32學時之間進行實際選擇;此時,本書可以作為學生在設計過程中的主要參考資料。
    查看全部↓



    "
     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部