[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

     经部  史类  子部  集部  古籍管理  古籍工具书  四库全书  古籍善本影音本  中国藏书
  •  文化

     文化评述  文化随笔  文化理论  传统文化  世界各国文化  文化史  地域文化  神秘文化  文化研究  民俗文化  文化产业  民族文化  书的起源/书店  非物质文化遗产  文化事业  文化交流  比较文化学
  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

     执业资格考试用书  室内设计/装潢装修  标准/规范  建筑科学  建筑外观设计  建筑施工与监理  城乡规划/市政工程  园林景观/环境艺术  工程经济与管理  建筑史与建筑文化  建筑教材/教辅  英文原版书-建筑
  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

     园艺  植物保护  畜牧/狩猎/蚕/蜂  林业  动物医学  农作物  农学(农艺学)  水产/渔业  农业工程  农业基础科学  农林音像
  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

  •  保健/养生

  •  体育/运动

  •  手工/DIY

  •  休闲/爱好

  •  英文原版书

  •  港台图书

  •  研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学

  •  音乐
     音乐理论

     声乐  通俗音乐  音乐欣赏  钢琴  二胡  小提琴
  • Verilog HDL高級數字設計(第2版)
    該商品所屬分類:圖書 -> 工業
    【市場價】
    784-1136
    【優惠價】
    490-710
    【作者】 邁克爾·D西勒提MichaelDCil 
    【出版社】電子工業出版社 
    【ISBN】9787121221934
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    出版社:電子工業出版社
    ISBN:9787121221934
    商品編碼:41199115564

    品牌:文軒
    出版時間:2019-01-01
    代碼:115

    作者:邁克爾·D.西勒提(MichaelD.Cil

        
        
    "
    作  者:(美)邁克爾·D.西勒提(Michael D.Ciletti) 著 李廣軍 等 譯
    /
    定  價:115
    /
    出 版 社:電子工業出版社
    /
    出版日期:2019年01月01日
    /
    頁  數:649
    /
    裝  幀:平裝
    /
    ISBN:9787121221934
    /
    目錄
    ●第1章 數字設計方法概論
    1.1 設計方法簡介
    1.1.1 設計規格
    1.1.2 設計劃分
    1.1.3 設計輸入
    1.1.4 仿真與功能驗證
    1.1.5 設計整合與驗證
    1.1.6 預綜合完成
    1.1.7 門級綜合與工藝映射
    1.1.8 後綜合設計確認
    1.1.9 後綜合時序驗證
    1.1.10 測試生成與故障模擬
    1.1.11 布局與布線
    1.1.12 物理和電氣設計規則檢查
    1.1.13 提取寄生參量
    1.1.14 設計完成
    1.2 IC工藝選擇
    1.3 後續內容概覽
    參考文獻
    第2章 組合邏輯設計回顧
    2.1 組合邏輯與布爾代數
    2.1.1 ASI
    2.1.2 布爾代數
    2.1.3 狄摩根定律
    2.2 布爾代數化簡定理
    2.3 組合邏輯的表示
    2.3.1 積之和表示法
    2.3.2 和之積表示法
    2.4 布爾表達式的化簡
    2.4.1 異或表達式的化簡
    2.4.2 卡諾圖(積之和形式)
    2.4.3 卡諾圖(和之積形式)
    2.4.4 卡諾圖與任意項
    2.4.5 擴展的卡諾圖
    2.5 毛刺與冒險
    2.5.1 靜態冒險的消除(積之和形式)
    2.5.2 消除兩級電路靜態冒險的小結
    2.5.3 多級電路中的靜態冒險
    2.5.4 消除多級電路靜態冒險的小結
    2.5.5 動態冒險
    2.6 邏輯設計模塊
    2.6.1 與非或非結構
    2.6.2 多路復用器
    2.6.3 多路解復用器
    2.6.4 編碼器
    2.6.5 優先編碼器
    2.6.6 譯碼器
    2.6.7 優先譯碼器
    參考文獻
    習題
    第3章 時序邏輯設計基礎
    3.1件
    3.1.1 鎖存器
    3.1.2 透明鎖存器
    3.2 觸發器
    3.2.1 D觸發器
    3.2.2 主從觸發器
    3.2.3 J-K觸發器
    3.2.4 T觸發器
    3.3 總線與三態器件
    3.4 時序機設計
    3.5 狀態轉移圖
    3.6 設計舉例:BCD碼到餘3碼的轉換器
    3.7 數據傳輸的串行線碼轉換器
    3.7.1 設計舉例:用Mealy型FSM實現串行線性碼轉換
    3.7.2 設計舉例:用Moore型FSM實現串行線碼轉換
    3.8 狀態化簡與等價狀態
    參考文獻
    習題
    第4章 Verilog邏輯設計介紹
    4.1 組合邏輯的結構化模型
    4.1.1 Verilog原語和設計封裝
    4.1.2 Verilog結構化模型
    4.1.3 模塊端口
    4.1.4 語言規則
    4.1.5 自頂向下的設計和模塊嵌套
    4.1.6 設計層次和源代碼結構
    4.1.7 Verilog矢量
    4.1.8 結構化連接
    4.2 邏輯繫統設計驗證及測試方法
    4.2.1 Verilog中的四值邏輯和信號解析
    4.2.2 測試方法
    4.2.3 測試平臺的信號發生器
    4.2.4 事件驅動仿真
    4.2.5 測試模板
    4.2.6 定長數
    4.3 傳播延時
    4.3.1 慣性延時
    4.3.2 傳輸延時
    4.4 組合與時序邏輯的Verilog真值表模型
    參考文獻
    習題
    第5章 用組合與時序邏輯的行為級模型進行邏輯設計
    5.1 行為建模
    5.2 行為級建模的數據類型的簡要介紹
    5.3 基於布爾方程的組合邏輯行為級模型
    5.4 傳播延時與連續賦值
    5.5 Verilog中的鎖存器和電平敏感電路
    5.6 觸發器和鎖存器的周期性行為模型
    5.7 周期性行為和邊沿檢測
    5.8 行為建模方式的比較
    5.8.1 連續賦值模型
    5.8.2 數據流/寄存器傳輸級模型
    5.8.3 基於算法的模型
    5.8.4 端口名稱:風格問題
    5.8.5 用行為級模型仿真
    5.9 多路復用器、 編碼器和譯碼器的行為模型
    5.10 線性反饋移位寄存器的數據流模型
    5.11 用循環算法的數字機模型
    5.11.1 IP(知識產權)的復用和參數化模型
    5.11.2 時鐘發生器
    5.12 多循環操作狀態機
    5.13 設計文件中的函數和任務:是精明還是愚蠢?
    5.13.1 任務
    5.13.2 函數
    5.14 行為建模的算法狀態機圖
    5.15 ASMD圖
    5.16 計數器、 移位寄存器和寄存器組的行為級模型
    5.16.1 計數器
    5.16.2 移位寄存器
    5.16.3 寄存器組和寄存器(存儲器)陣列
    5.17 用於異步信號的去抖動開關、 亞穩定性和同步裝置
    5.18 設計實例:鍵盤掃描器和編碼器
    參考文獻
    習題
    第6章 組合邏輯與時序邏輯的綜合
    6.1 綜合簡介
    6.1.1 邏輯綜合
    6.1.2 RTL綜合
    6.1.3 高級綜合
    6.2 組合邏輯的綜合
    6.2.1 優先級結構的綜合
    6.2.2 利用邏輯無關緊要條件
    6.2.3 AS與資源共享
    6.3 帶鎖存器的時序邏輯綜合
    6.3.1 鎖存器的無意綜合
    6.3.2 鎖存器的有意綜合
    6.4 三態器件和總線接口的綜合
    6.5 帶有觸發器的時序邏輯綜合
    6.6 顯式狀態機的綜合
    6.6.1 BCD碼/餘3碼轉換器的綜合
    6.6.2 設計舉例:Mealy型NRZ碼/Manchester線性碼轉換器的綜合
    6.6.3 設計舉例:Moore型NRZ碼/Manchester線性碼轉換器的綜合
    6.6.4 設計舉例:序列檢測器的綜合
    6.7 寄存器邏輯
    6.8 狀態編碼
    6.9 隱式狀態機、 寄存器和計數器的綜合
    6.9.1 隱式狀態機
    6.9.2 計數器綜合
    6.9.3 寄存器綜合
    6.10 復位
    6.11 門控時鐘與時鐘使能的綜合
    6.12 預測綜合結果
    6.12.1 數據類型綜合
    6.12.2 運算符分組
    6.12.3 表達式替代
    6.13 循環的綜合
    6.13.1 不帶內嵌定時控制的靜態循環
    6.13.2 帶內嵌定時控制的靜態循環
    6.13.3 不帶內嵌定時控制的非靜態循環
    6.13.4 帶內嵌定時控制的非靜態循環
    6.13.5 用狀態機替代不可綜合的循環
    6.14 要避免的設計陷阱
    6.15 分割與合並:設計劃分
    參考文獻
    習題
    第7章 數據通路控制器的設計與綜合
    7.1 時序狀態機的劃分
    7.2 設計實例:二進制計數器
    7.3 RISC存儲程序機的設計與綜合
    7.3.1 RISC SPM:處理器
    7.3.2 RISC SPM:ALU
    7.3.3 RISC SPM:控制器
    7.3.4 RISC SPM:指令集
    7.3.5 RISC SPM:控制器設計
    7.3.6 RISC SPM:程序執行
    7.4 設計實例:UART
    7.4.1 UART的操作
    7.4.2 UART發送器
    7.4.3 UART接收器
    參考文獻
    習題
    第8章 可編程邏輯及存儲器件
    8.1 可編程邏輯器件
    8.2 存儲器件
    8.2.1 隻讀存儲器
    8.2.2 可編程ROM(PROM)
    8.2.3 可擦除ROM
    8.2.4 基於ROM的組合邏輯實現
    8.2.5 用於ROM的Verilog繫統任務
    8.2.6 ROM的比較
    8.2.7 基於ROM的狀態機
    8.2.8 閃存
    8.2.9 靜態隨機存儲器(SRAM)
    8.2.10 鐵電非易失性存儲器
    8.3 可編程邏輯陣列(PLA)
    8.3.1 PLA最小化
    8.3.2 PLA建模
    8.4 可編程陣列邏輯(PAL)
    8.5 PLD的可編程性
    8.6 復雜可編程邏輯器件
    8.7 現場可編程門陣列
    8.7.1 FPGA在ASIC市場中的角色
    8.7.2 FPGA技術
    8.7.3 linx公司Virtex繫列FPGA
    8.8 片上繫統(SoC)的嵌入式可編程IP核
    8.9 基於Verilog的FPGA設計流程
    8.10 FPGA綜合
    參考文獻
    相關網站
    習題及基於FPGA的設計訓練
    第9章 數字處理器的算法和架構
    9.1 算法、 循環嵌套程序和數據流圖
    9.2 設計實例:半色調像素圖像轉換器
    9.2.1 半色調像素圖像轉換器的原型設計
    9.2.2 基於NLP的半色調像素圖像轉換器結構
    9.2.3 半色調像素圖像轉換器的最小並行處理器結構
    9.2.4 半色調像素圖像轉換器:設計權衡
    9.2.5 帶反饋數據流圖的結構
    9.3 數字濾波器和信號處理器
    9.3.1 FIR濾波器
    9.3.2 數字濾波器設計過程
    9.3.3 IIR濾波器
    9.4 構建信號處理器的基本模型
    9.4.1 積分器(累加器)
    9.4.2 微分器
    9.4.3 抽樣和插值濾波器
    9.5 流水線結構
    9.5.1 設計實例:流水線型加法器
    9.5.2 設計實例:流水線型FIR濾波器
    9.6 環形緩衝器
    9.7 異步FIFO――跨越時鐘域的同步問題
    9.7.1 簡化異步FIFO
    9.7.2 異步FIFO的時鐘同步
    參考文獻
    習題
    第10章 算術處理器架構
    10.1 數的表示方法
    10.1.1 負整數的原碼表示
    10.1.2 負整數的反碼表示方法
    10.1.3 正數和負數的補碼表示方法
    10.1.4 小數的表示
    10.2 加減法
    10.2.1 行波進位加法器
    10.2.2 超前進位加法器
    10.2.3 上溢出和下溢出
    10.3 乘法運算
    10.3.1 組合(並行)二進制乘法器
    10.3.2 時序二進制乘法器
    10.3.3 時序乘法器設計:層次化分解
    10.3.4 基於STG的控制器設計
    10.3.5 基於STG的高效二進制時序乘法器
    10.3.6 基於ASMD的時序二進制乘法器
    10.3.7 基於ASMD的高效二進制時序乘法器
    10.3.8 基於ASMD數據通路和控制器設計的總結
    10.3.9 精簡寄存器時序乘法器
    10.3.10 隱式狀態機二進制乘法器
    10.3.11 Booth算法時序乘法器
    10.3.12 比特對編碼
    10.4 有符號二進制數乘法
    10.4.1 有符號數的乘積:被乘數為負,乘數為正
    10.4.2 有符號數的乘積:被乘數為正,乘數為負
    10.4.3 有符號數的乘積:被乘數、 乘數均為負
    10.5 小數乘法
    10.5.1 有符號小數:被乘數、 乘數均為正
    10.5.2 有符號小數:被乘數為負,乘數為正
    10.5.3 有符號小數:被乘數為正,乘數為負
    10.5.4 有符號小數:被乘數、 乘數均為負
    10.6 除法
    10.6.1 無符號二進制數的除法
    10.6.2 無符號二進制數的高效除法
    10.6.3 精簡寄存器時序除法器
    10.6.4 有符號二進制數(補碼)的除法
    10.6.5 帶符號的計算
    參考文獻
    習題
    第11章 後綜合設計任務
    11.1 後綜合設計驗證
    11.2 後綜合時序驗證
    11.2.1 靜態時序分析
    11.2.2 時序規範
    11.2.3 影響時序的因素
    11.3 ASIC中時序違約的消除
    11.4 虛假路徑
    11.5 用於時序驗證的繫統任務
    11.5.1 時序檢查:建立時間條件
    11.5.2 時序檢查:保持時間約束
    11.5.3 時序檢查:建立時間和保持時間約束
    11.5.4 時鐘檢查:脈衝寬度約束
    11.5.5 時序檢查:信號偏移約束
    11.5.6 時序檢查:時鐘周期
    11.5.7 時序檢查:恢復時間
    11.6 故障模擬及制造測試
    11.6.1 電路缺陷和故障
    11.6.2 故障檢測與測試
    11.6.3 D標記法
    11.6.4 組合電路的自動測試模板生成
    11.6.5 故障覆蓋和缺陷級別
    11.6.6 時序電路的測試生成
    11.7 故障模擬
    11.7.1 故障解析
    11.7.2 串行故障模擬
    11.7.3 並行故障模擬
    11.7.4 並發性故障模擬
    11.7.5 概率性故障模擬
    11.8 JTAG端口和可測性設計
    11.8.1 邊界掃描和JTAG端口
    11.8.2 JTGA操作模式
    11.8.3 JTAG寄存器
    11.8.4 JTAG指令
    11.8.5 TAP結構
    11.8.6 TAP控制器狀態機
    11.8.7 設計實例:JTAG測試
    11.8.8 設計實例:內建自測試
    參考文獻
    習題
    附錄A Verilog原語
    附錄B Verilog關鍵詞
    附錄C Verilog數據類型
    附錄D Verilog運算符
    附錄E Verilog語言形式化語法(I)
    附錄F Verilog語言形式化語法(II)
    附錄G Verilog語言的附加特性
    附錄H 觸發器和鎖存器類型
    附錄I Verilog 2001,2005
    附錄J 編程語言接口
    附錄K 相關網站
    中英文術語對照表
    內容簡介
    本書依據數字集成電路繫統工程開發的要求與特點,利用Verilog HDL對數字繫統進行建模、設計與驗證,對ASIC/FPGA繫統芯片工程設計開發的關鍵技術與流程進行了深入講解,內容包括:集成電路芯片繫統的建模、電路結構權衡、流水線技術、多核微處理器、功能驗證、時序分析、測試平臺、故障模擬、可測性設計、邏輯綜合、後綜合驗證等集成電路繫統的前後端工程設計與實現中的關鍵技術及設計案例。書中以大量設計實例敘述了集成電路繫統工程開發須遵循的原則、基本方法、實用技術、設計經驗與技巧。



    "
     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    【同作者商品】
    邁克爾·D西勒提MichaelDCil
      本網站暫時沒有該作者的其它商品。
    有該作者的商品通知您嗎?
    請選擇作者:
    邁克爾·D西勒提MichaelDCil
    您的Email地址
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部