[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • FPGA/CPLD應用技術(21世紀高等學校電子信息類專業核心課程工程
    該商品所屬分類:研究生 -> 工學
    【市場價】
    387-560
    【優惠價】
    242-350
    【作者】 韓曉敏張鵬劉海妹張洪亮 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】清華大學出版社 
    【ISBN】9787302371854
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝

    是否套裝:否
    國際標準書號ISBN:9787302371854
    作者:韓曉敏,張鵬,劉海妹,張洪亮

    出版社:清華大學出版社
    出版時間:2014年10月 

        
        
    "
    編輯推薦

    近年來,參加全國大學生電子設計競賽的高職高專院校學生逐年增多,各高校也都非常重視,除了在相關課程的日常教學中加大訓練力度外,還在選撥參賽隊員前後組織相關輔導和強化訓練,急需要適合這類學生的競賽培訓教材。


    在總結前期教材編寫出版經驗的基礎上,組織編寫了這套既可用於全國大學生電子設計競賽,也可用於電子信息類專業電子產品設計與制作核心能力培養的工程型繫列教材,以期推動大學生電子設計競賽和高等學校電子信息類專業的教學改革和教材建設。


     



     


      


    《電子產品制作工藝》  



    該繫列教材的定位是首先滿足課堂教學,同時兼顧電子設計競賽培訓的需求。如果學生學完這套從書的內容,參加電子設計競賽前隻需要強化實踐技能的訓練即可;如果學校教學計劃中沒有安排這套教材中的全部課程,競賽培訓時就要補充有關內容。


    該繫列教材打破了傳統的理論體繫,采用基於CDIO(Conceive、Design、Implement、Operate,構思、設計、實現、運行)工程教育理念的項目化教學模式,將每門課程的核心內容融入到一個個項目中,根據項目的需要,按照項目內容、知識、項目實施和擴充知識的架構對傳統教材內容進行了重組。


    該繫列教材編寫中聘請了行業企業的工程技術人員參與,每部教材的編者中至少有一位是來自行業企業的一線工程技術人員。


    該繫列教材的主編、副主編和其他作者均有著豐富的教學和工程實踐經驗,多數作者還具有指導大學生電子設計競賽的經歷,有的作者指導的學生代表隊還獲得過全國獎。他們有著強烈的責任意識、質量意識和創新意識,在教材編寫過程中每個細節的工作都精益求精,使教材的質量達到了較高水平。

     
    內容簡介
    本書是基於課堂教學和全國大學生電子設計競賽的特點和需要進行編寫的。通過項目簡明而繫統地介紹了FPGA/CPLD應用技術的相關內容。篇包括FPGA/CPLD的基本結構、開發流程及開發環境,硬件描述語言(VHDL)的基本知識。第二篇側重應用開發,共分為9個項目,依據CDIO理念,把相關知識融入項目中學習。項目4~項目9為常用電路,訓練讀者基本的VHDL程序設計能力,掌握VHDL程序結構和VHDL並行語句與順序語句。項目10~項目12為綜合實用型項目,旨在培養讀者的VHDL程序設計能力,有助於讀者掌握VHDL層次化設計。 本書在取材和編排上力求理論聯繫實際、由淺入深、循序漸進。每個項目後面附有小結和習題,便於讀者學習和教學使用。 本書內容精練、實踐性和針對性強、實例豐富,既可作為電子信息類專業學生參加電子設計競賽、技能大賽的培訓教材,也可作為高等專科和職業院校電子類專業學生的教材與參考書。
    目錄
    篇基 礎 知 識
    項目1了解FPGA/CPLD
    1.1FPGA/CPLD的基本結構
    1.1.1FPGA的基本結構
    1.1.2CPLD的基本結構
    1.1.3FPGA和CPLD的比較
    1.2Altera的FPGA/CPLD
    1.2.1Altera的FPGA
    1.2.2Altera的CPLD
    1.2.3FPGA/CPLD選型
    1.3FPGA/CPLD的開發流程
    小結
    課後練習
    篇基 礎 知 識
    項目1了解FPGA/CPLD
    1.1FPGA/CPLD的基本結構
    1.1.1FPGA的基本結構
    1.1.2CPLD的基本結構
    1.1.3FPGA和CPLD的比較
    1.2Altera的FPGA/CPLD
    1.2.1Altera的FPGA
    1.2.2Altera的CPLD
    1.2.3FPGA/CPLD選型
    1.3FPGA/CPLD的開發流程
    小結
    課後練習

    項目2學習VHDL語言的基本知識
    2.1VHDL程序結構
    2.1.1簡單VHDL程序舉例
    2.1.2實體
    2.1.3結構體
    2.1.4庫和程序包
    2.1.5配置
    2.2VHDL的語言要素
    2.2.1語法規則
    2.2.2標識符
    2.2.3數據類型
    2.2.4運算操作符
    2.3VHDL基本語句
    2.3.1順序語句
    2.3.2並行語句
    2.3.3子程序
    小結
    課後練習

    項目3熟悉FPGA/CPLD的開發環境
    3.1常用開發軟件
    3.2Quartus Ⅱ的使用
    3.2.1Quartus Ⅱ的用戶界面
    3.2.2設計輸入
    3.2.3設計編譯
    3.2.4設計仿真
    3.2.5器件編程
    3.3DE2開發板
    3.3.1DE2開發板簡介
    3.3.2DE2開發板的使用
    小結
    課後練習
    第二篇應 用 開 發
    項目4門電路設計
    4.1基本邏輯門的設計
    4.1.1設計要求
    4.1.2構思——方案選擇
    4.1.3設計——VHDL源程序設計
    4.1.4實現——編輯、編譯
    4.1.5運行——仿真、編程測試
    4.2三態門的設計
    4.2.1設計要求
    4.2.2構思——方案選擇
    4.2.3設計——VHDL源程序設計
    4.2.4實現——編輯、編譯
    4.2.5運行——仿真、編程測試
    小結
    課後習題
    項目5編碼器設計
    5.1普通編碼器的設計
    5.1.1設計要求
    5.1.2構思——方案選擇
    5.1.3設計——VHDL源程序設計
    5.1.4實現——編輯、編譯
    5.1.5運行——仿真、編程測試
    5.2優先編碼器的設計
    5.2.1設計要求
    5.2.2構思——方案選擇
    5.2.3設計——VHDL源程序設計
    5.2.4實現——編輯、編譯
    5.2.5運行——仿真、編程測試及結果分析
    小結
    課後練習
    項目6譯碼器設計
    6.138譯碼器的設計
    6.1.1設計要求
    6.1.2構思——方案選擇
    6.1.3設計——VHDL源程序設計
    6.1.4實現——編輯、編譯
    6.1.5運行——仿真、編程測試
    6.2二十進制BCD譯碼器的設計
    6.2.1設計要求
    6.2.2構思——方案選擇
    6.2.3設計——VHDL源程序設計
    6.2.4實現——編輯、編譯
    6.2.5運行——仿真、編程測試
    小結
    課後練習
    項目7觸發器設計
    7.1同步RS觸發器的設計
    7.1.1設計要求
    7.1.2構思——方案選擇
    7.1.3設計——VHDL源程序設計
    7.1.4實現——編輯、編譯
    7.1.5運行——仿真、編程測試
    7.2同步復位D觸發器的設計
    7.2.1設計要求
    7.2.2構思——方案選擇
    7.2.3設計——VHDL源程序設計
    7.2.4實現——編輯、編譯
    7.2.5運行——仿真、編程測試
    小結
    課後練習
    項目8計數器設計
    8.1同步計數器設計
    8.1.1設計要求
    8.1.2預備知識
    8.1.3構思——方案選擇
    8.1.4設計——編寫VHDL源程序
    8.1.5實現——編譯與仿真
    8.1.6運行——編程測試與結果分析
    8.2異步計數器設計
    8.2.1設計要求
    8.2.2預備知識
    8.2.3構思——方案選擇
    8.2.4設計——編寫VHDL源程序
    8.2.5實現——編譯與仿真
    8.2.6運行——編程測試與結果分析
    小結
    課後練習
    項目9分頻器設計
    9.1占空比與分頻繫數可調的分頻器設計
    9.1.1設計要求
    9.1.2預備知識
    9.1.3構思——方案選擇
    9.1.4設計——編寫VHDL源程序
    9.1.5實現——編譯與仿真
    9.1.6運行——編程測試與結果分析
    9.2占空比為50%的奇數分頻器設計
    9.2.1設計要求
    9.2.2構思——提出設計方案
    9.2.3設計——VHDL源程序設計
    9.2.4實現——編譯與仿真
    9.2.5運行——編程測試與結果分析
    小結
    課後練習
    項目10電梯控制器
    10.1設計要求
    10.2知識
    10.2.1狀態機的基本概念
    10.2.2狀態機的VHDL設計
    10.3項目實施
    10.3.1構思——總體方案設計
    10.3.2設計——編寫各模塊的VHDL源程序
    10.3.3實現——編譯與仿真
    10.3.4運行——編程測試與結果分析
    小結
    項目11交通燈控制器
    11.1設計要求
    11.2構思——總體方案確定
    11.3設計——VHDL編程
    11.3.1分頻模塊設計
    11.3.2控制模塊設計
    11.3.3顯示模塊設計
    11.3.4頂層設計
    11.4實現——硬件編程
    11.5運行——測試結果分析
    小結
    項目12簡單微處理器設計與實現
    12.1設計要求
    12.2預備知識
    12.2.1微處理器的硬件繫統
    12.2.2微處理器的指令繫統
    12.3構思——設計思路與方案
    12.4設計——VHDL源程序設計
    12.5實現——編譯與仿真
    12.6運行——編程測試與結果分析
    小結
    參考文獻
    前言
    序言全國大學生電子設計競賽是由*發起,*高等教育司和信息產業部人事教育司組織的面向全國各類、各層次大學生的學科競賽,是在大學生中開展廣泛的賽事之一。競賽的組織運行模式為“政府主辦、專家主導、學生主體、社會參與”十六字方針。截至目前,全國大學生電子設計競賽已經有二十年的歷史。二十年來,競賽在促進我國高等學校電子信息、自動化和計算機等專業和相關課程內容的改革,加強大學生創新能力、動手能力和協作精神的培養,提高學生的業務素質以及針對實際問題進行分析解決的綜合能力等方面發揮了重要作用,也為優秀人纔的脫穎而出創造了良好條件,因而備受社會關注。各高校也都非常重視,除了在相關課程的日常教學中加大訓練力度外,還在選撥參賽隊員前後組織相關輔導和強化訓練。近年來,參加全國大學生電子設計競賽的高職高專院校學生逐年增多,急需適合這類學生的競賽培訓教材。另外,目前全國每年都有大批大學應屆畢業生找不到工作,而大批用人單位卻苦於招不到理想的人纔。其中原因是多方面的,但大學教育與社會需求嚴重脫節是非常重要的原因之一。為此,各高校都在積極進行教學內容和教學方法等方面的改革,以盡快適應行業發展和社會對人纔的需求,因此,迫切需要一批適用於應用型工程技術人纔培養的教材。為滿足高等職業院校和高等專科院校學生電子設計競賽培訓和應用型電子工程技術人纔培養的需要,2012年,全國大學生電子設計競賽山東賽區組委會組織了一批有多年指導電子設計競賽經驗的老師,編寫了適合高職高專類學生的《全國大學生電子設計競賽培訓教程》。2013年,在總結前期教材編寫出版經驗的基礎上,組委會又組織編寫了這套既可用於全國大學生電子設計競賽,也可用於電子信息類專業電子產品設計與制作核心能力培養的工程型繫列教材,以期推動大學生電子設計競賽和高等學校電子信息類專業的教學改革和教材建設。該繫列教材由清華大學出版社出版,並列為“21世紀高等學校電子信息類專業核心課程工程型規劃教材”和“面向全國大學生電子設計競賽繫列教材”,包括《模擬電子技術》、《數字電子技術》、《微控制器技術——MSP430單片機應用技術》、《傳感器應用技術》、《電子產品制作技術》和《FPGA/CPLD應用技術》。鋻於目前各高校對參加電子設計競賽學生的培訓多半是在完成計劃內課程教學的基礎上再補充一些與競賽有關的內容,並進行適當的強化訓練。因此,該繫列教材的定位是首先滿足課堂教學,同時兼顧電子設計競賽培訓的需求。如果學生學完這套叢書的內容,參加電子設計競賽前隻需要強化實踐技能的訓練即可; 如果學校教學計劃中沒有安排這套教材中的全部課程(如“傳感器技術”、“電子產品制作技術”和“FPGA/CPLD應用技術”等並不是所有學校都開設),競賽培訓時就要補充有關內容。該繫列教材的適用對像是高職高專和應用型本科電子信息類專業的學生,因此,沒有列入“嵌入式繫統”和“DSP技術”。雖說這兩門課程也是電子設計競賽和電子產品設計與制作所必需的課程,但由於其難度較大,高職高專學生學習起來比較困難,所以這套叢書中沒有包括這兩門課程的教材。該繫列教材體現了基於CDIO的項目化教學的工程教育理念。目前,為了使高等教育特別是高等工程教育更好地適應社會需求,各高校都在積極進行人纔培養模式方面的探索。但由於各學校的學生基礎、教師水平、教學經費投入和教學條件都有很大差異,其他學校成功的做法,拿到自己學校就不一定行得通。縱觀國內外高等學校成功的教學改革經驗,我們認為基於CDIO(Conceive、Design、Implement、Operate,構思、設計、實現、運行)的項目化教學模式對二、三類本科和高職高專的工程類專業具有一定的借鋻價值。因為它提倡基於CDIO的理念,以項目為主線組織教學內容和教學活動,把“學科導向”變為“項目導向”,把“學以致考”變為“學以致用”,把強調學科知識的完備性與繫統性變為注重項目訓練的繫統性與完整性; 讓學生在做項目的過程中學習必要的專業基礎知識,基礎知識以“必需、夠用”為度; 加強學生學習能力的培養,注重培養學生應用所學知識解決實際問題的能力,指導學生循序漸進地完成好一個個精選的、適合於多數學生的工程項目,使學生在做項目的過程中提高項目構思、設計、實現、運行的能力,然後再運用這種能力去解決新的工程實際問題,從而提高適應工作環境和技術的發展變化的能力。這種教學模式在本科與高職、學校與學校之間的差異關鍵在於如何選好符合學生實際的項目。基於這種考慮,我們在這套教材的編寫過程中盡量體現這種理念,這在全國尚不多見。該繫列教材打破了傳統的理論體繫,采用基於CDIO工程教育理念的項目化教學模式,將每門課程的核心內容融入項目中,根據項目的需要,按照項目內容、知識、項目實施和擴充知識的架構對傳統教材內容進行了重組,把每個項目的實施過程歸納為“構思、設計、實現、運行”四個步驟,以加強對學生進行工程項目實施能力的培養。所選項目的難度科學合理,一般難度、中等難度、較高難度的項目各占一定比例。每部教材都編入了一兩個有代表性的綜合項目,所選綜合項目覆蓋了本課程的主要內容,而教材中的其他項目基本上就是這些綜合項目的子模塊(子項目)。該繫列教材兼顧了高職高專學生電子設計競賽和電子設計與制作核心能力培養的需要,以工程應用為重點,盡量淡化基礎理論的難度,基礎知識以“必需、夠用”為原則; 結合電子產品設計與制作的工程實際,突出重點與主流技術, 如《數字電子技術》、《模擬電子技術》和《電子產品制作技術》突出歷年電子設計競賽中常用的電路模塊和技術,《微處理器技術》以競賽贊助商TI(德州儀器)公司的430繫列單片機為主,《FPGA/CPLD應用技術》選用業內著名商家Altera公司提供的主流的芯片和開發繫統等。該繫列教材在編寫中聘請了行業企業的工程技術人員參與,每部教材的編者中至少有一位是來自行業企業的一線工程技術人員。行業企業一線工程技術人員有著豐富的工程實踐經驗,他們清楚相關專業中哪些課程是有用的,傳統教材中哪些內容是工作中必需的,哪些是可有可無的,哪些是很少用到甚至是沒用的。聘請行業企業工程技術人員參與教材編寫,使教材的編寫得到了更多先進技術的支持,獲得了更多來源於工程實際的案例資源。他們把自己豐富的工程實踐經驗引入教材,使教材內容更具有新意,更貼近行業企業的應用實際。該繫列教材的主編、副主編和其他作者均有著豐富的教學和工程實踐經驗,多數作者還具有指導大學生電子設計競賽的經歷,有的作者指導的學生代表隊還獲得過全國獎。他們有著強烈的責任意識、質量意識和創新意識,在教材編寫過程中每個細節的工作都精益求精,使教材的質量達到了較高水平。該繫列教材編寫過程中得到了TI公司和Altera公司的大力支持,公司為教材的編寫提供了許多寶貴的資料。教材的編寫中還參考了部分兄弟院校教師和學生的作品,由於這些作品有的還沒有正式發表,因而無法在參考文獻中一一列出,在此一並表示感謝。張有志2014年3月於濟南序言全國大學生電子設計競賽是由*發起,*高等教育司和信息產業部人事教育司組織的面向全國各類、各層次大學生的學科競賽,是在大學生中開展廣泛的賽事之一。競賽的組織運行模式為“政府主辦、專家主導、學生主體、社會參與”十六字方針。截至目前,全國大學生電子設計競賽已經有二十年的歷史。二十年來,競賽在促進我國高等學校電子信息、自動化和計算機等專業和相關課程內容的改革,加強大學生創新能力、動手能力和協作精神的培養,提高學生的業務素質以及針對實際問題進行分析解決的綜合能力等方面發揮了重要作用,也為優秀人纔的脫穎而出創造了良好條件,因而備受社會關注。各高校也都非常重視,除了在相關課程的日常教學中加大訓練力度外,還在選撥參賽隊員前後組織相關輔導和強化訓練。近年來,參加全國大學生電子設計競賽的高職高專院校學生逐年增多,急需適合這類學生的競賽培訓教材。另外,目前全國每年都有大批大學應屆畢業生找不到工作,而大批用人單位卻苦於招不到理想的人纔。其中原因是多方面的,但大學教育與社會需求嚴重脫節是非常重要的原因之一。為此,各高校都在積極進行教學內容和教學方法等方面的改革,以盡快適應行業發展和社會對人纔的需求,因此,迫切需要一批適用於應用型工程技術人纔培養的教材。為滿足高等職業院校和高等專科院校學生電子設計競賽培訓和應用型電子工程技術人纔培養的需要,2012年,全國大學生電子設計競賽山東賽區組委會組織了一批有多年指導電子設計競賽經驗的老師,編寫了適合高職高專類學生的《全國大學生電子設計競賽培訓教程》。2013年,在總結前期教材編寫出版經驗的基礎上,組委會又組織編寫了這套既可用於全國大學生電子設計競賽,也可用於電子信息類專業電子產品設計與制作核心能力培養的工程型繫列教材,以期推動大學生電子設計競賽和高等學校電子信息類專業的教學改革和教材建設。該繫列教材由清華大學出版社出版,並列為“21世紀高等學校電子信息類專業核心課程工程型規劃教材”和“面向全國大學生電子設計競賽繫列教材”,包括《模擬電子技術》、《數字電子技術》、《微控制器技術——MSP430單片機應用技術》、《傳感器應用技術》、《電子產品制作技術》和《FPGA/CPLD應用技術》。鋻於目前各高校對參加電子設計競賽學生的培訓多半是在完成計劃內課程教學的基礎上再補充一些與競賽有關的內容,並進行適當的強化訓練。因此,該繫列教材的定位是首先滿足課堂教學,同時兼顧電子設計競賽培訓的需求。如果學生學完這套叢書的內容,參加電子設計競賽前隻需要強化實踐技能的訓練即可; 如果學校教學計劃中沒有安排這套教材中的全部課程(如“傳感器技術”、“電子產品制作技術”和“FPGA/CPLD應用技術”等並不是所有學校都開設),競賽培訓時就要補充有關內容。該繫列教材的適用對像是高職高專和應用型本科電子信息類專業的學生,因此,沒有列入“嵌入式繫統”和“DSP技術”。雖說這兩門課程也是電子設計競賽和電子產品設計與制作所必需的課程,但由於其難度較大,高職高專學生學習起來比較困難,所以這套叢書中沒有包括這兩門課程的教材。該繫列教材體現了基於CDIO的項目化教學的工程教育理念。目前,為了使高等教育特別是高等工程教育更好地適應社會需求,各高校都在積極進行人纔培養模式方面的探索。但由於各學校的學生基礎、教師水平、教學經費投入和教學條件都有很大差異,其他學校成功的做法,拿到自己學校就不一定行得通。縱觀國內外高等學校成功的教學改革經驗,我們認為基於CDIO(Conceive、Design、Implement、Operate,構思、設計、實現、運行)的項目化教學模式對二、三類本科和高職高專的工程類專業具有一定的借鋻價值。因為它提倡基於CDIO的理念,以項目為主線組織教學內容和教學活動,把“學科導向”變為“項目導向”,把“學以致考”變為“學以致用”,把強調學科知識的完備性與繫統性變為注重項目訓練的繫統性與完整性; 讓學生在做項目的過程中學習必要的專業基礎知識,基礎知識以“必需、夠用”為度; 加強學生學習能力的培養,注重培養學生應用所學知識解決實際問題的能力,指導學生循序漸進地完成好一個個精選的、適合於多數學生的工程項目,使學生在做項目的過程中提高項目構思、設計、實現、運行的能力,然後再運用這種能力去解決新的工程實際問題,從而提高適應工作環境和技術的發展變化的能力。這種教學模式在本科與高職、學校與學校之間的差異關鍵在於如何選好符合學生實際的項目。基於這種考慮,我們在這套教材的編寫過程中盡量體現這種理念,這在全國尚不多見。該繫列教材打破了傳統的理論體繫,采用基於CDIO工程教育理念的項目化教學模式,將每門課程的核心內容融入項目中,根據項目的需要,按照項目內容、知識、項目實施和擴充知識的架構對傳統教材內容進行了重組,把每個項目的實施過程歸納為“構思、設計、實現、運行”四個步驟,以加強對學生進行工程項目實施能力的培養。所選項目的難度科學合理,一般難度、中等難度、較高難度的項目各占一定比例。每部教材都編入了一兩個有代表性的綜合項目,所選綜合項目覆蓋了本課程的主要內容,而教材中的其他項目基本上就是這些綜合項目的子模塊(子項目)。該繫列教材兼顧了高職高專學生電子設計競賽和電子設計與制作核心能力培養的需要,以工程應用為重點,盡量淡化基礎理論的難度,基礎知識以“必需、夠用”為原則; 結合電子產品設計與制作的工程實際,突出重點與主流技術, 如《數字電子技術》、《模擬電子技術》和《電子產品制作技術》突出歷年電子設計競賽中常用的電路模塊和技術,《微處理器技術》以競賽贊助商TI(德州儀器)公司的430繫列單片機為主,《FPGA/CPLD應用技術》選用業內著名商家Altera公司提供的主流的芯片和開發繫統等。該繫列教材在編寫中聘請了行業企業的工程技術人員參與,每部教材的編者中至少有一位是來自行業企業的一線工程技術人員。行業企業一線工程技術人員有著豐富的工程實踐經驗,他們清楚相關專業中哪些課程是有用的,傳統教材中哪些內容是工作中必需的,哪些是可有可無的,哪些是很少用到甚至是沒用的。聘請行業企業工程技術人員參與教材編寫,使教材的編寫得到了更多先進技術的支持,獲得了更多來源於工程實際的案例資源。他們把自己豐富的工程實踐經驗引入教材,使教材內容更具有新意,更貼近行業企業的應用實際。該繫列教材的主編、副主編和其他作者均有著豐富的教學和工程實踐經驗,多數作者還具有指導大學生電子設計競賽的經歷,有的作者指導的學生代表隊還獲得過全國獎。他們有著強烈的責任意識、質量意識和創新意識,在教材編寫過程中每個細節的工作都精益求精,使教材的質量達到了較高水平。該繫列教材編寫過程中得到了TI公司和Altera公司的大力支持,公司為教材的編寫提供了許多寶貴的資料。教材的編寫中還參考了部分兄弟院校教師和學生的作品,由於這些作品有的還沒有正式發表,因而無法在參考文獻中一一列出,在此一並表示感謝。張有志2014年3月於濟南







    前言EDA(電子設計自動化)技術,是以可編程邏輯器件為設計載體、以硬件描述語言為繫統邏輯描述的主要表達方式,以計算機為工作平臺,以EDA工具軟件為開發環境,自動完成電子繫統設計的全過程。EDA技術已經發展成為電子繫統設計者完成電子繫統設計的重要工具。本教材適用於高職高專電類專業和本科非電子信息類專業。全書分為兩篇,篇介紹FPGA/CPLD的基礎知識,共分為3個項目。分別講解了FPGA/CPLD的基本結構、VHDL語言的基本知識和FPGA/CPLD的開發環境。基礎知識以“必需、夠用”為原則。第二篇側重應用開發,共分為9個項目,依據CDIO理念,把相關知識融入項目中學習。所選項目難度由易到難,項目4~項目9為小型簡單項目,訓練學生基本的VHDL程序設計能力,掌握VHDL程序結構和VHDL並行語句與順序語句。項目10~項目12為綜合實用型項目,訓練學生復雜VHDL程序設計能力,掌握VHDL層次化設計,綜合項目基本覆蓋課程的主要內容。通過本課程的學習,學生能夠熟練使用EDA開發工具,具備初步的VHDL程序設計能力,能夠利用FPGA/CPLD器件完成電子產品的開發。同時,作為現代企業所需要的高技能人纔的社會能力,也能夠通過該門課程的學習得以提升。本教材的主要特色有以下幾點。(1) 體現基於CDIO的項目化教學的工程教育理念。以項目為主線讓學生在做項目的過程中學習必要的專業基礎知識,基礎知識以“必需、夠用”為原則,加強學生學習能力的培養,注重培養學生應用所學知識解決實際問題的能力,指導學生循序漸進地完成好一個個精選的、適合於多數學生的工程項目,使學生在做項目的過程中提高項目構思、設計、實現、運行的能力,然後再運用這種能力去解決新的工程實際問題,從而提高適應工作環境和技術發展變化的能力。(2) 行業企業工程技術人員參與教材編寫。聘請行業企業工程技術人員參與教材編寫,可得到更多的先進技術的支持,使教材內容更具有新意; 獲得更多的來源於工程實際的案例資源; 把他們豐富的工程實踐經驗引入教材,使教材更貼近於行業企業的應用實際。本書緊密結合高職高專學生的實際,選材精煉、突出實踐、講究實用,不僅可以作為高職高專類學生的教材,還可作為高職高專學生全國電子設計競賽的培訓教材,對電子信息類專業的工程技術人員也有較高的參考價值。山東凱文科技職業學院韓曉敏老師擔任本教材主編,負責全書的統稿工作,並編寫了項目4~項目7; 山東省計算中心助理研究員張鵬擔任副主編,編寫了項目8~項目12及3.3節; 江蘇理工學院劉海妹老師擔任副主編,編寫了項目1、項目2、3.1、3.2節; 山東奧太電氣有限公司張洪亮工程師擔任參編,在項目的程序調試和硬件制作方面做了大量工作。山東大學張有志教授、張平慧教授為本書的完成提供了大量支持、幫助,在此表示感謝。由於信息技術發展迅速,其應用領域不斷擴展,限於編者的常識水平和知識範圍,錯誤之處在所難免,敬請廣大讀者批評指正。
    編者2014.4
    在線試讀
    項目3熟悉FPGA/CPLD的開發環境3.1常用開發軟件常見的FPGA/PLD開發軟件都是由芯片廠家提供的,基本都可以完成所有的設計輸入(原理圖)、仿真、綜合、布線、下載等工作,常用軟件如表31所示。

    表31常用FPGA開發軟件


    商標特點
    Altera公司的PLD開發軟件,使用者眾多MAX PLUS Ⅱ BaselineAltera公司的免費PLD開發軟件,界面與標準版的MAX PLUS Ⅱ完全一樣,但需要通過使用LeonadoSpecturm纔能支持VHDL/Verilog,支持MAX 7000/3000和部分FLEX/ACEX芯片(如1K30 6016等),共47.1MBMAX PLUS Ⅱ E MAXAltera公司的免費PLD開發軟件,界面與標準版的MAX PLUS Ⅱ完全一樣,隻支持MAX7000和MAX3000繫列器件,本身支持不復雜的VHDL和Verilog綜合,軟件較小,共26.8MBAltera公司新一代PLD開發軟件Quartus Ⅱ Web EditionAltera公司的meifeui PLD開發軟件Quartus Ⅱ的網絡版,可以根據所用芯片分別下載相應的文件,推薦使用256MB以上內存,安裝有NT或WIN 2000的機器
    續表

    商標特點
    Xilinx公司的PLD開發軟件Xilinx公司的PLD開發軟件WebFITTERXilinx公司的免費PLD開發軟件,不需下載,可在線編譯,結果用email發送到信箱。使用簡單,但要求較快的聯網速度。支持XC9500和CoolRunner繫列WebPACK ISEXilinx公司的免費PLD開發軟件,支持XC9500,CoolRunner,Spartan/Ⅱ,部分Virtex/E/Ⅱ器件ispDesignEXPERTLattice公司的PLD開發軟件ispExpert StarterLattice公司的免費PLD開發軟件,支持600以下的Lattice芯片的設計WrapCypress公司開發軟件
    3.2Quartus Ⅱ的使用
    項目3熟悉FPGA/CPLD的開發環境3.1常用開發軟件常見的FPGA/PLD開發軟件都是由芯片廠家提供的,基本都可以完成所有的設計輸入(原理圖)、仿真、綜合、布線、下載等工作,常用軟件如表31所示。

    表31常用FPGA開發軟件


    商標特點
    Altera公司的PLD開發軟件,使用者眾多MAX PLUS Ⅱ BaselineAltera公司的免費PLD開發軟件,界面與標準版的MAX PLUS Ⅱ完全一樣,但需要通過使用LeonadoSpecturm纔能支持VHDL/Verilog,支持MAX 7000/3000和部分FLEX/ACEX芯片(如1K30 6016等),共47.1MBMAX PLUS Ⅱ E MAXAltera公司的免費PLD開發軟件,界面與標準版的MAX PLUS Ⅱ完全一樣,隻支持MAX7000和MAX3000繫列器件,本身支持不復雜的VHDL和Verilog綜合,軟件較小,共26.8MBAltera公司新一代PLD開發軟件Quartus Ⅱ Web EditionAltera公司的meifeui PLD開發軟件Quartus Ⅱ的網絡版,可以根據所用芯片分別下載相應的文件,推薦使用256MB以上內存,安裝有NT或WIN 2000的機器
    續表

    商標特點
    Xilinx公司的PLD開發軟件Xilinx公司的PLD開發軟件WebFITTERXilinx公司的免費PLD開發軟件,不需下載,可在線編譯,結果用email發送到信箱。使用簡單,但要求較快的聯網速度。支持XC9500和CoolRunner繫列WebPACK ISEXilinx公司的免費PLD開發軟件,支持XC9500,CoolRunner,Spartan/Ⅱ,部分Virtex/E/Ⅱ器件ispDesignEXPERTLattice公司的PLD開發軟件ispExpert StarterLattice公司的免費PLD開發軟件,支持600以下的Lattice芯片的設計WrapCypress公司開發軟件
    3.2Quartus Ⅱ的使用

    圖31QuartusⅡ軟件的開發流程
    隨著可編程邏輯器件日益廣泛的應用,許多IC制造廠家涉足FPGA/CPLD領域。目前世界上有十幾家生產FPGA/CPLD的公司,的三家是: Altera、Xilinx、Lattice,其中Altera和Xilinx占據了60%以上的市場份額。
    Altera公司是全球的可編程邏輯器件供應商之一。其主要產品有: MAX 3000/7000、MAX Ⅱ、FLEX 6000、FLEX 8000、APEX 20K、ACEX 1K、CYCLONE、Stratix、CYCLONEⅡ、StratixⅡ等。Altera公司針對FPGA/CPLD器件推出了相應的設計軟件,目前主要是第三代的MAX+PLUSⅡ和第四代的QuartusⅡ。作為Altera公司一代的集成設計環境,QuartusⅡ支持Altera公司目前流行的所有主流FPGA/CPLD的設計開發,並引入了一繫列的新特性,如支持RLT View、綜合效率更高、可以進行功耗估算等。Altera公司的QuartusⅡ軟件提供了可編程片上繫統(SOPC)設計的一個綜合開發環境,是進行SOPC設計的基礎。QuartusⅡ集成環境包括以下內容: 繫統級設計,嵌入式軟件開發,可編程邏輯器件(PLD)設計,綜合,布局和布線,驗證和仿真。QuartusⅡ軟件根據設計者需要提供了一個完整的多平臺開發環境,它包含整個FPGA和CPLD設計階段的解決方案。圖31說明了Quartus Ⅱ軟件的開發流程。
    QuartusⅡ軟件的主要設計流程有以下幾個方面。1. 圖形用戶界面設計流程QuartusⅡ軟件提供的完整、易於操作的圖形用戶界面可以完成整個設計流程。圖32顯示的是QuartusⅡ圖形用戶界面提供的關於設計流程中各個階段的功能。為了與開發軟件一致,圖中保留了設計流程中各階段圖形用戶界面提供的英文描述。



    設計輸入
     Text Editor
     Block&Symbol Editor
     MegaWizard PlugIn Manager
     Assignment Editor
     Floorplan Editor


    綜合
     Analysis&Synthesis
     VHDL、VerilogHDL、AHDL
     Design Assistant
     RTL Viewer

    布局、布線
     Fitter
     Assignment Editor
     Floorplan Editor
     Chip Editor
     Report Window
     Incremental Fitting

    時序分析
     Timing Analyzer
     Report Window


    仿真
     Simulator
     Waveform Editor

    編程
     Assembler
     Programmer
     Convert Programming Files


    繫統級設計
     SOPC Builder
     DSP Builder


    嵌入式軟件開發
     Software Builder


    基於塊的設計
     LogicLock Window
     Floorplan Editior
     VQM Writer


    EDA界面
     EDA Netlist Writer



    時序逼近
     Floorplan Ediror
     LogicLock Window


    調試
     SignalTapⅡ
     SignalProbe
     Chip Editor
     RLT Viewer



    工程變動管理
     Chip Editor
     Resousce Property Editor
     Change Manager



    圖32QuartusⅡ圖形用戶界面功能
    2. EDA工具設計流程QuartusⅡ軟件允許設計者在設計流程中的各個階段使用熟悉的第三方EDA工具,設計者可以在QuartusⅡ圖形用戶界面或命令行可執行文件中使用這些EDA工具。圖33顯示了使用EDA工具的設計流程。

    圖33EDA工具設計流程

    QuartusⅡ軟件與它所支持的EDA工具直接通過NativeLink技術實現無縫連接,並允許在QuartusⅡ軟件中自動調用第三方EDA工具。3. 命令行設計流程QuartusⅡ軟件提供完整的命令行界面解決方案。它允許使用者使用命令行可執行文件和選項完成設計流程的每個階段。使用命令行流程可以降低內存要求,並可使用腳本或標準的命令行選項和命令(包括Tcl命令)控制QuartusⅡ軟件和建立Makefile。圖34顯示了有關命令行的設計流程。

    圖34命令行設計流程

    從圖34可以看出,QuartusⅡ軟件在設計流程中的每一個階段都有單獨的與其對應的可執行文件。而且每個可執行文件隻有在其執行過程中纔占用內存。這些可執行文件可以與標準的命令行命令和腳本配合使用,也可以在Tcl腳本和Makefile腳本文件中使用。QuartusⅡ軟件的主要設計特性表現在以下幾個方面: 1) 基於模塊的設計方法提高工作效率Altera特別為QuartusⅡ軟件用戶提供了LogicLock基於模塊的設計方法,便於用戶獨立設計和實施各種設計模塊,並且在將模塊集成到頂層工程時仍可維持各個模塊的性能。由於每一個模塊都隻需要進行一次優化,因此LogicLock流程可以顯著縮短設計和驗證的周期。2) 更快集成IPQuartusⅡ軟件包括SOPC Builder工具。SOPC Builder針對可編程片上繫統(SOPC)的各種應用自動完成IP核(包括嵌入式處理器、協處理器、外設、存儲器和用戶設定的邏輯)的添加、參數設置和連接等操作。SOPC Builder節約了原先繫統集成工作中所需要的大量時間,使設計人員能夠在幾分鐘內將概念轉化成為真正可運作的繫統。Altera的MegaWizard PlugIn Manager可對QuartusⅡ軟件中所包括的參數化模塊庫(LPM)或Altera/AMPP SM合作伙伴的IP Megafunctions進行參數設置和初始化操作,從而設計輸入時間、優化設計性能。3) 在設計周期的早期對I/O引腳進行分配和確認QuartusⅡ軟件可以進行預先的I/O分配和驗證操作(無論頂層的模塊是否已經完成),這樣就可以在整個設計流程中盡早開始印刷電路板(PCB)的布線設計工作。同樣,設計人員可以在任何時間對引腳的分配進行修改和驗證,無須再進行一次設計編譯。該軟件還提供各種分配編輯的功能,例如選擇多個信號和針對一組引腳同時進行的分配修改等,所有這些都進一步簡化了引腳分配的管理。4) 存儲器編譯器用戶可以使用QuartusⅡ軟件中提供的存儲器編譯器功能對Altera FPGA中的嵌入式存儲器進行輕松管理。QuartusⅡ軟件的4.0版本和後續版本都增加了針對FIFO和RAM讀操作的基於現有設置的波形動態生成功能。5) 支持CPLD、FPGA和基於HardCopy的ASIC除了CPLD和FPGA以外,QuartusⅡ軟件還使用和FPGA設計完全相同的設計工具、IP和驗證方式支持HardCopy Stratix器件繫列,在業界首次允許設計工程師通過易用的FPGA設計軟件來進行結構化的ASIC設計,並且能夠對設計後的性能和功耗進行準確的估算。6) 使用全新的命令行和腳本功能自動化設計流程用戶可以使用命令行或QuartusⅡ軟件中的圖形用戶界面(GUI)獨立運行QuartusⅡ軟件中的綜合、布局布線、時序分析以及編程等模塊。除了提供Synopsys設計約束(SDC)的腳本支持以外,QuartusⅡ軟件中目前還包括了易用的工具命令語言(Tcl)界面,允許用戶使用該語言來創建和定制設計流程和滿足用戶的需求。下面簡要介紹QuartusⅡ軟件的安裝方法。在滿足繫統配置的計算機上,可以按照下面的步驟安裝QuartusⅡ軟件(這裡以安裝QuartusⅡ 8.1Web Edition為例): (1) 將QuartusⅡ設計軟件的光盤放入計算機的光驅中,QuartusⅡ安裝光盤將自動啟動安裝界面(如圖35所示)。如果安裝光盤沒有自動啟動安裝光盤界面,可以從資源管理器進入光驅驅動器,用鼠標左鍵雙擊光驅根目錄下的install.exe文件即可。

    圖35QuartusⅡ安裝界面

    (2) 按照安裝向導的提示進行操作,經過一繫列確認之後,可以進入安裝類型選擇界面。(3) 圖36所示為QuartusⅡ安裝類型選擇界面,可以選擇完全安裝模式(需要硬盤空間)或用戶自定義安裝模式。

    圖36QuartusⅡ安裝類型選擇界面

    (4) QuartusⅡ軟件安裝完成後,將給出提示界面,並顯示是否安裝成功的信息,應當仔細閱讀所提示的相關信息。3.2.1Quartus II的用戶界面QuartusⅡ設計軟件為設計者提供了一個完善的多平臺設計環境,與以往的EDA工具相比,它更適合設計團隊基於模塊的層次化設計方法。為了使MAX PLUSⅡ用戶很快熟悉QuartusⅡ軟件的設計環境,在QuartusⅡ軟件中,設計者可以將QuartusⅡ軟件的圖形用戶界面(GUI)的菜單、工具條以及應用窗口設置成MAX PLUSⅡ的顯示形式。圖37給出了QuartusⅡ軟件的典型設計流程。

    圖37QuartusⅡ軟件的典型設計流程

    圖38所示為QuartusⅡ軟件的圖形用戶窗口(GUI)。

    圖38QuartusⅡ的圖形用戶窗口
    1. Project Navigator窗口Project Navigator窗口包括三個可以相互切換的標簽,其中Hierarchy標簽類似於MAX PLUSⅡ軟件中的層級顯示(Hierarchy Display),提供了、寄存器以及存儲器位資源使用等信息; Files和Design Units標簽提供了工程文件和的列表。2. Status窗口Status窗口顯示編譯各階段的進度和逝去時間,類似於MAX PLUSⅡ的編譯窗口。3. Messages窗口Messages窗口類似於MAX PLUSⅡ軟件中的消息處理器窗口,提供詳細的編譯報告、警告和錯誤信息。設計者可以根據某個消息定位到QuartusⅡ軟件不同窗口中的一個節點。4. Node Finder窗口Node Finder窗口提供的功能等效於MAX PLUSⅡ軟件中Search Node Database對話框的功能,允許設計者查看存儲在工程數據庫中的任何節點名。5. Change Manager窗口利用Change Manager窗口可以跟蹤在Chip Editor中對設計文件進行變更的信息。6. Tcl Console窗口Tcl Console窗口在圖形用戶界面(GUI)中提供了一個可以輸入Tcl命令或執行Tcl腳本文件的控制臺,在MAX PLUSⅡ軟件中沒有與它等效的功能。上面介紹的所有窗口均可以在菜單View→UtilityWindows中進行顯示和隱藏切換。對於熟悉MAX PLUSⅡ的設計者來說,可以在QuartusⅡ軟件中通過下面的設置將QuartusⅡ的圖形用戶界面顯示成MAX PLUSⅡ的形式。(1) 選擇Tools→Customize菜單命令。(2) 在Customize對話框中General頁面的Look & Feel欄中選擇MAX PLUSⅡ選項,如圖39所示。

    圖39Customize對話框

    (3) 單擊Apply按鈕後,重新進入QuartusⅡ軟件,則此時的圖形用戶窗口如菜單、快捷鍵等就完全類似於MAX PLUSⅡ軟件了。3.2.2設計輸入QuartusⅡ軟件的工程文件由所有的設計文件、軟件源文件以及完成其他操作所需的相關文件組成,是真正的基於工程管理的繫統設計軟件。設計文件的輸入方法有原理圖式的圖形輸入、文本輸入、內存編輯以及由第三方EDA工具產生的EDIF網表輸入、VQM格式輸入等。輸入方法不同,生成的文件格式也有所不同。圖310給出了不同輸入方法所生成的各種文件格式。

    圖310設計輸入文件

    本節主要介紹原理圖設計輸入方法,文本設計輸入方法將在後面的項目設計中詳細介紹。1. 創建工程在QuartusⅡ軟件中可以利用創建工程向導(New Project Wizard)創建一個新的工程。在向導中需要指定工程的工作目錄、工程名以及頂層文件名,同時可以指定工程中所要用到的設計文件、其他源文件、用戶庫及第三方EDA工具,也可以在創建工程的同時指定目標器件類型。對於現有的MAX PLUSⅡ工程文件,可以利用QuartusⅡ軟件File菜單下的Convert MAX PLUSⅡProject命令將MAX PLUSⅡ配置文件(.acf)轉換為QuartusⅡ工程,QuartusⅡ軟件將為該工程建立新的QuartusⅡ工程配置文件和有關設置。圖311給出了New Project Wizard對話框及相關說明。根據工程向導添加工程中所需的設計文件、用戶庫以及第三方EDA工具,指定器件繫列,後工程向導給出一個總結,新的設計工程即建立完成。

    圖311New Project Wizard對話框及相關說明

    建立工程後,還可以使用Assignments菜單下的Settings對話框對工程設置進行修改,如在工程中添加和刪除設計及其他文件、更改器件繫列、添加用戶庫以及EDA工具的設置等。在執行QuarutsⅡ軟件的分析與綜合期間,QuartusⅡ軟件按照Settings對話框中Files頁顯示的文件順序處理文件。Settings對話框中還包括與綜合、適配、仿真相關的設置,如圖312所示。

    圖312Settings對話框

    2. 建立圖形設計文件在創建好設計工程以後,選擇File→New菜單,彈出如圖313所示的新建設計文件選擇界面。創建圖形設計文件,選擇New對話框中Device Design Files頁下的Block Diagram/Schmatic File,單擊OK按鈕,打開圖形編輯器對話框,如圖314所示,圖中標明了每個按鈕的功能,這些按鈕在後面的設計中會經常用到。

    圖313新建設計文件選擇界面



    圖314QuartusⅡ圖形編輯器對話框

    QuartusⅡ圖形編輯器也稱為塊編輯器(Block Editor),以原理圖(Schematics)和結構圖(Block Diagrams)的形式輸入和編輯圖形設計信息。QuartusⅡ的塊編輯器可以讀取並編輯結構圖設計文件(Block DesignFiles)和MAX PLUSⅡ圖形設計文件(Graphic DesignFiles)。可以在QuartusⅡ軟件中打開圖形設計文件並將其另存為結構圖設計文件。在這裡,用塊編輯器替代了MAX PLUSⅡ軟件中的圖形編輯器。在圖314所示的QuartusⅡ圖形編輯器窗口中,根據個人愛好,可以隨時改變Block Editor的顯示選項,如導向線和網格間距、橡皮筋功能、顏色以及和塊的屬性等。可以通過下面幾種方法進行原理圖設計文件的輸入。1) 符號輸入QuartusⅡ軟件為實現不同的邏輯功能提供了大量的符號和宏功能模塊,設計者可以在原理圖編輯器中直接調用,如基本、中規模器件以及參數化模塊(LPM)等。可按照下面的方法符號到圖形編輯區。(1) 在圖314所示的圖形編輯器窗口的工作區中雙擊鼠標左鍵,或單擊圖中的“符號工具”按鈕,或選擇Edit→Insert Symbol菜單,則彈出如圖315所示的Symbol對話框。

    圖315Symbol對話框

    兆功能函數(megafunctions)庫中包含很多種可直接使用的參數化模塊,當選擇兆功能函數庫時,如果同時使能圖中標注的兆功能函數實例化復選框,則軟件自動調用MegaWizard PlugIn Manager功能。其他(others)庫中包括與MAX PLUSⅡ軟件兼容的所有中規模器件,如74繫列的符號。符號(primitives)庫中包含所有的Altera,如邏輯門、輸入/輸出端口等。(2) 用鼠標庫前面的加號“ ”,直到使所有庫以列表的方式顯示出來; 選擇所需或符號,該符號顯示在Symbol對話框的右邊; 單擊OK按鈕,所選擇符號將顯示在圖314的圖形編輯工作區域,在合適的位置單擊鼠標左鍵放置符號。重復上述兩步,即可連續選取庫中的符號。如果要重復選擇某一個符號,可以在圖315中選中重復輸入復選框,選擇一個符號以後,可以在圖形編輯區重復放置。放置完成後單擊鼠標右鍵,選擇Cancel取消放置符號。(3) 要輸入74繫列的符號,方法與(2)相似,選擇其他(others)庫,打開maxplus2列表,從其中選擇所要的74繫列符號。當選擇其他庫或兆功能函數庫中的符號時,圖315中的以塊形式插入復選框有效。如果選中該復選框,則插入的符號以圖形塊的形狀顯示,如圖316所示。

    圖316選擇以塊形式插入復選框

    (4) 如果知道圖形符號的名稱,可以直接在Symbol對話框的符號名稱欄中輸入要調入的符號名稱,Symbol對話框將自動打開輸入符號名稱所在的庫列表。如直接輸入“74161”,則Symbol對話框將自動定位到74161所在庫中的列表,如圖316所示。(5) 圖形編輯器中放置的符號都有一個實例名稱(如inst1,可以簡單理解為一個符號的多個復制項的名稱),符號的屬性可以由設計者修改。在需要修改屬性的符號上單擊鼠標右鍵,在彈出的下拉菜單中選擇Properties項,則彈出符號屬性對話框,如圖317所示。在General標簽頁可以修改符號的實例名; Ports標簽頁可以對端口狀態進行修改; Parameters標簽頁可以對參數化模塊的參數進行設置; Format標簽頁可以修改符號的顯示顏色等。

    圖317符號屬性對話框

    2) 圖形塊輸入(Block Diagram)圖形塊輸入也可以稱為結構圖輸入,是自頂向下(TopDown)的設計方法。設計者首先根據設計結構的需要,在頂層文件中畫出圖形塊(或器件符號),然後在圖形塊上輸入端口和參數信息,用連接器(信號線或總線、管道)連接各個組件。輸入結構圖的操作步驟如下。(1) 建立一個新的圖形編輯窗口。(2) 選擇工具條上的塊工具,在圖形編輯區中拖曳鼠標畫圖形塊; 在圖形塊上單擊鼠標右鍵,選擇下拉菜單的Block Properties項,彈出塊屬性對話框,如圖318所示。塊屬性對話框中也有4個標簽頁,除I/Os標簽頁外,其他標簽頁的內容與圖317中的符號屬性對話框相同。

    圖318塊屬性對話框

    塊屬性對話框中的I/Os標簽頁需要設計者輸入塊的端口名和類型。如圖318所示,我們輸入data_a為輸入端口,單擊右上角的Add按鈕,將此端口加入到Existing Block I/Os列表框中。同理設置reset、clk為輸入端口,data_b、ctrl1為輸出端口,addr_a、addr_b為雙向端口。在General標簽頁中將圖形塊名稱改為Block_A。單擊“確定”按鈕完成圖形塊屬性設置。(3) 建立圖形塊之間的連線或圖形塊與標準符號之間的連線。在一個頂層設計文件中,可能有多個圖形塊,也會有多個標準符號和端口,它們之間的連接可以使用信號線(Node Line)、總線(Bus Line)或管道(Conduit Line),與符號相連的一般是信號線或總線,而與圖形塊相連的既可以是信號線或總線,也可以是管道。(4) “智能”模塊連接。在用管道連接兩個圖形塊時,如果兩邊端口名稱相同,則不用在管道上加標注。另外,一個管道可以連接模塊之間所有的普通I/O端口。在兩個圖形塊之間連接的管道上單擊鼠標右鍵,選擇管道屬性(Conduit Properties),在管道屬性對話框中可以看到兩個塊之間相互連接的信號對應關繫,如圖319所示。

    圖319管道屬性對話框

    (5) 模塊端口映射。如果管道連接的兩個圖形塊端口名不相同,或圖形塊與符號相連,則需要對圖形塊端口進行I/O映射,即指定模塊的信號對應關繫。在進行I/O端口映射之前,應對所有的信號線和總線命名。在信號線或總線上單擊鼠標右鍵,選擇Properties。I/O端口映射如圖320所示。在圖形塊上選擇需要映射的連接器端點映射器(Mapper),雙擊鼠標左鍵,在Mapper Properties對話框的General標簽頁中選擇映射端口類型(輸入、輸出或雙向),在Mappings標簽頁中設置模塊上的I/O端口和連接器上的信號映射,單擊Add按鈕和“確定”按鈕完成設置。如果是兩個圖形塊相連,用同樣的方法設置連接管道另一端圖形塊上的映射器屬性。選中菜單項View→Show Mapper Tables,屏幕上就會顯示連接器的映射注釋框。

    圖320I/O端口映射

    (6) 為每個圖形塊生成硬件描述語言(HDL)或圖形設計文件。在生成圖形塊的設計文件之前,首先應保存當前的圖形設計文件為.bdf類型。在某個圖形塊上單擊鼠標右鍵,從下拉菜單中選擇Create Design File from Selected Block項,從彈出的對話框中選擇生成的文件類型(AHDL、VHDL、Verilog HDL或原理圖Schematic),並確定是否要將該設計文件添加到當前的工程文件中,如圖321所示。單擊OK按鈕QuartusⅡ自動生成包含指定模塊端口聲明的設計文件,設計者即可在功能描述區設計該模塊的具體功能。

    圖321生成圖形塊設計文件

    如果在生成模塊的設計文件以後,對頂層圖形塊的端口名或端口數進行了修改,QuartusⅡ可以自動更新該模塊的底層設計文件。在修改後的圖形塊上單擊鼠標右鍵,在下拉菜單中選擇Update Design File from Selected Block項,在彈出的對話框中單擊“是”按鈕,QuartusⅡ即可對生成的底層文件端口自動更新。3) 使用MegaWizard PlugIn Manager進行宏功能模塊的實例化MegaWizard PlugIn Manager可以幫助設計者建立或修改包含自定義宏功能模塊變量的設計文件,然後可以在自己的設計文件中對這些模塊進行實例化。這些自定義的宏功能模塊變量基於Altera提供的宏功能模塊,包括LPM(Library Parameterized Megafunction)、MegaCore(例如FFT、FIR等)和AMMP(AlteraMegafunction Partners Program,例如PCI、DDS等)。MegaWizard PlugIn Manager運行一個向導,幫助設計者輕松地指定自定義宏功能模塊變量選項。該向導用於為參數和可選端口設置數值。在Tools菜單中選擇MegaWizard PlugIn Manager項,或直接在原理圖設計文件的Symbol對話框(圖315)中單擊MegaWizard PlugIn Manager按鈕都可以在QuartusⅡ軟件中打開MegaWizard PlugIn Manager向導,也可以直接在命令提示符下鍵入qmegawiz命令,實現在QuartusⅡ軟件之外使用MegaWizard PlugIn Manager。表32列出了MegaWizard PlugIn Manager生成自定義宏功能模塊變量同時產生的文件。

    表32MegaWizard PlugIn Manager生成的文件


    文件名描述
    <輸出文件>.bsf圖形編輯器中使用的宏功能模塊符號<輸出文件>.cmpVHDL組件聲明文件(可選)<輸出文件>.incAHDL包含文件(可選)<輸出文件>.tdf <輸出文件>.vhdAHDL實例化的宏功能模塊包裝文件<輸出文件>.vhdVHDL實例化的宏功能模塊包裝文件<輸出文件>.vVerilog HDL實例化的宏功能模塊包裝文件
    續表

    文件名描述
    <輸出文件>_bb.vVerilog HDL實例化宏功能模塊包裝文件中端口聲明部分(稱為Hollow body或Black box),用於在使用EDA綜合工具時指定端口方向<輸出文件>_inst.tdf宏功能模塊包裝文件中子設計的AHDL實例化示例(可選)<輸出文件>_inst.vhd宏功能模塊包裝文件中實體的VHDL實例化示例(可選)<輸出文件>_inst.v宏功能模塊包裝文件中模塊的Verilog HDL實例化示例(可選)
    在QuartusⅡ軟件中使用MegaWizard PlugIn Manager對宏功能模塊進行實例化的步驟如下。(1) 選擇菜單Tools→MegaWizard PlugIn Manager,或直接在原理圖設計文件的Symbol對話框(圖315)中單擊MegaWizard PlugIn Manager按鈕,則彈出如圖322所示的對話框。

    圖322MegaWizard PlugIn Manager向導對話框首頁

    (2) 選擇創建新的宏功能模塊變量選項,單擊Next按鈕,則彈出如圖323所示的對話框。在宏功能模塊庫中選擇要創建的功能模塊,選擇輸出文件類型,輸入/輸出文件名。

    圖323MegaWizard PlugIn Manager向導對話框宏功能模塊選擇頁面

    (3) 單擊Next按鈕,根據需要,依次設置宏功能模塊的參數,如輸出位數、計數器模值、計數方向、使能輸入端、進位輸出端以及預置輸入等選項,後單擊Finish按鈕完成宏功能模塊的實例化。在第(3)步中,隨時可以單擊對話框中的Documentation按鈕查看所建立的宏功能模塊的幫助內容,並可以隨時單擊Finish按鈕完成宏功能模塊的實例化,此時後面的參數選擇默認設置。(4) 在圖形編輯器窗口中調用創建的宏功能模塊變量。除了按照上面的方法直接調用MegaWizard PlugIn Manager向導外,還可以在圖形編輯器中的Symbol對話框(圖315)中選擇宏功能函數(Megafunctions)庫,直接設置宏功能模塊的參數,實現宏功能模塊的實例化,如圖324所示。單擊OK按鈕,在圖形編輯器中調入所選宏功能模塊,如圖325所示。模塊的右上角是參數設置框(在View菜單中選擇Show Parameter Assignments),在參數設置框上雙擊鼠標左鍵,彈出模塊屬性對話框。在宏功能模塊屬性對話框中,我們可以直接設置端口和參數。

    圖324選擇宏功能函數庫



    圖325宏功能模塊及其模塊屬性對話框

    4) 從設計文件創建模塊前面我們講過從圖形塊生成底層的設計文件,在層次化工程設計中,也經常需要將已經設計好的工程文件生成一個模塊符號文件(Block Symbol File, .bsf)作為自己的功能模塊符號在頂層調用,該符號就像圖形設計文件中的任何其他宏功能符號一樣可被高層設計重復調用。在QuartusⅡ中可以通過下面的步驟完成從設計文件到頂層模塊的建立,這裡假設已經存在一個設計完成並經過保存且檢查沒有錯誤的設計文件。(1) 在File菜單中選擇Create/Update項,進而選擇Create Symbol Files for Current File,單擊“確定”按鈕,即可創建一個代表現行文件功能的符號文件(.bsf)。如果該文件對應的符號文件已經建立過,則執行該操作時會彈出一個提示信息,詢問是否要覆蓋現存的符號文件,如果選擇“是”,則現存符號文件的內容就會被新的符號文件覆蓋。(2) 在頂層圖形編輯器窗口打開Symbol對話框(如圖315所示),在工程目錄庫中即可找到與設計文件同名的符號,單擊OK按鈕,調入該符號。(3) 如果所產生的符號不能清楚地表示符號內容,還可以使用Edit菜單下的Edit Selected Symbol命令對符號進行編輯,或在該符號上單擊鼠標右鍵,選擇Edit Selected Symbol命令,進入符號編輯界面。5) 建立完整的原理圖設計文件(連線、加入輸入/輸出端口)要建立一個完整的原理圖設計文件,調入所需要的邏輯符號以後,還需要根據設計要求進行符號之間的連線,以及根據信號輸入/輸出類型放置輸入、輸出或雙向引腳。① 連線符號之間的連線包括信號線(Node Line)和總線(Bus Line)。如果需要連接兩個端口,則將鼠標移動到其中一個端口上,這時鼠標指示符自動變為“ ”形狀,一直按住鼠標的左鍵並拖曳鼠標到達第二個端口,放開左鍵,即可在兩個端口之間畫出一條連接線。Quartus Ⅱ軟件會自動根據端口是單信號端口還是總線端口畫出信號線或總線。在連線過程中,當需要在某個地方拐彎時,隻需要在該處放開鼠標左鍵,然後再繼續按住左鍵拖曳即可。② 放置引腳引腳包括輸入(Input)、輸出(Output)和雙向(Bidir)三種類型,放置方法與放置符號的方法相同,即在圖形編輯窗口的空白處雙擊鼠標左鍵,在Symbol對話框的符號名框中鍵入引腳名,或在基本符號庫(primitive)的引腳(pin)庫中選擇,單擊OK按鈕,對應的引腳就會顯示在圖形編輯窗口中。要重復放置同一個符號,可以在Symbol對話框中選中重復輸入復選框,也可以將鼠標放在要重復放置的符號上,按住Ctrl鍵和鼠標左鍵不放,此時鼠標右下角會出現一個加號,拖曳鼠標到指定位置,松開鼠標左鍵就可以復制符號了。③ 為引線和引腳命名引線的命名方法是: 在需要命名的引線上單擊一下鼠標左鍵,此時引線處於被選中狀態,然後輸入名字。對單個信號線的命名,可用字母、字母組合或字母與數字組合的形式,如A0、A1、clk等; 對於n位總線的命名,可以采用A[n-1..0]形式,其中A表示總線名,可以用字母或字母組合的形式表示。引腳的命名方法是: 在放置的引腳的pin_name處雙擊鼠標左鍵,然後輸入該引腳的名字; 或在需命名的引腳上雙擊鼠標左鍵,在彈出的引腳屬性對話框的引腳名欄中輸入該引腳名。引腳的命名方法與引線命名一樣,也分為單信號引腳和總線引腳。6) 圖形編輯器選項設置在Tools菜單中選擇Options命令,則彈出QuartusⅡ軟件的各種編輯器的設置選項對話框。從Category欄中選擇Block/Symbol Editor,可以根據需要設置圖形編輯窗口的選項,如背景顏色、符號顏色、各種文字的字體以及網格控制等,如圖326所示。

    圖326圖形編輯器選項設置

    7) 保存設計文件設計完成後,需要保存設計文件或重新命名設計文件。選擇File菜單中的Save as項,出現如圖327所示的對話框; 選擇好文件保存目錄,並在文件名欄內輸入設計文件名。如需要將設計文件添加到當前工程中,則選擇對話框下面的Create new project based on this file復選框,單擊“保存”按鈕即可保存文件。

    圖327“另存為”對話框

    3.2.3設計編譯設計項目完成以後,可以使用QuartusⅡ編譯器中的分析綜合模塊(Analysis & Synthesis)分析設計文件和建立工程數據庫。Analysis & Synthesis使用QuartusⅡ的集成綜合支持(Integrated Synthesis Support)來綜合VHDL(.vhd)或Verilog(.v)設計文件。Integrated Synthesis是QuartusⅡ軟件包含的完全支持VHDL和Verilog硬件描述語言以及AHDL語言的集成綜合工具,並提供了對綜合過程進行控制的選項。用戶喜歡的話,可以使用其他EDA綜合工具綜合VHDL或Verilog HDL設計文件,然後再生成可以與QuartusⅡ軟件配合使用的EDIF網表文件(.edf)或VQM文件(.vqm)。QuartusⅡ軟件的集成綜合完全支持Altera原理圖輸入格式的模塊化設計文件(.bdf),以及從MAX PLUSⅡ軟件引入的圖形設計文件(.gdf)。圖328給出了綜合設計流程。圖中quartus_map、quartus_drc表示可執行命令文件,在QuartusⅡ的Tcl控制臺(進入菜單View→Utility Windows→Tcl Console)或命令提示符下可以直接輸入quartus_map命令運行分析綜合(Analysis & Synthesis)。

    圖328QuartusⅡ綜合設計流程

    QuartusⅡ Analysis & Synthesis支持Verilog 1995標準(IEEE標準1364—1995)和大多數Verilog 2001標準(IEEE標準1364—2001),還支持VHDL 1987(IEEE標準1076—1987)和1993(IEEE標準1076—1993)標準。設計者可以選擇使用的標準,默認情況下, Analysis & Synthesis使用Verilog 2001和VHDL 1993標準。還可以指定庫映射文件(.lmf),將非QuartusⅡ函數

     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部