[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

     经部  史类  子部  集部  古籍管理  古籍工具书  四库全书  古籍善本影音本  中国藏书
  •  文化

     文化评述  文化随笔  文化理论  传统文化  世界各国文化  文化史  地域文化  神秘文化  文化研究  民俗文化  文化产业  民族文化  书的起源/书店  非物质文化遗产  文化事业  文化交流  比较文化学
  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

     执业资格考试用书  室内设计/装潢装修  标准/规范  建筑科学  建筑外观设计  建筑施工与监理  城乡规划/市政工程  园林景观/环境艺术  工程经济与管理  建筑史与建筑文化  建筑教材/教辅  英文原版书-建筑
  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

     园艺  植物保护  畜牧/狩猎/蚕/蜂  林业  动物医学  农作物  农学(农艺学)  水产/渔业  农业工程  农业基础科学  农林音像
  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

  •  保健/养生

  •  体育/运动

  •  手工/DIY

  •  休闲/爱好

  •  英文原版书

  •  港台图书

  •  研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学

  •  音乐
     音乐理论

     声乐  通俗音乐  音乐欣赏  钢琴  二胡  小提琴
  • 《Verilog HDL數字集成電路設計原理與應用(第二版)》學習指導
    該商品所屬分類:研究生 -> 工學
    【市場價】
    148-216
    【優惠價】
    93-135
    【作者】 蔡覺平 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】西安電子科技大學出版社 
    【ISBN】9787560641768
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝

    是否套裝:否
    國際標準書號ISBN:9787560641768
    作者:蔡覺平

    出版社:西安電子科技大學出版社
    出版時間:2016年10月 

        
        
    "
    內容簡介






    本書結合“十二五”普通高等教育本科*規劃教材《Verilog HDL 數字集成電路設計原理與應用(第二版)》(蔡覺平等,西安電子科技大學出版社,2016),以習題和實驗例程的方式,對采用Verilog HDL的數字集成電路和FPGA設計方法進行了介紹,同時對教材中的課後習題也一一給予了解答。書中實驗例程多,可綜合和測試針對性強,且大部分內容來源於工程案例,通過對理論教學的歸納和總結,進一步加強了設計的可參考性,因此,本書主要用於Verilog HDL數字集成電路的實驗教學中。

    本書可作為研究生和本科生的實驗教材,也可作為數字集成電路設計工程師的參考書。

    目錄
    第1章 Verilog HDL數字集成電路設計方法概述 1
    1.1 數字集成電路的發展和設計方法的演變 1
    1.2 硬件描述語言 1
    1.3 Verilog HDL的發展和國際標準 2
    1.4 Verilog HDL和VHDL 2
    1.5 Verilog HDL在數字集成電路設計中的優點 3
    1.6 功能模塊的可重用性 3
    1.7 IP核和知識產權保護 4
    1.8 Verilog HDL在數字集成電路設計流程中的作用 4
    教材思考題和習題解答 4

    第2章 Verilog HDL基礎知識 7
    2.1 Verilog HDL的語言要素 7
    2.2 數據類型 8





    第1章  Verilog HDL數字集成電路設計方法概述 1

    1.1  數字集成電路的發展和設計方法的演變 1

    1.2  硬件描述語言 1

    1.3  Verilog HDL的發展和國際標準 2

    1.4  Verilog HDL和VHDL 2

    1.5  Verilog HDL在數字集成電路設計中的優點 3

    1.6  功能模塊的可重用性 3

    1.7  IP核和知識產權保護 4

    1.8  Verilog HDL在數字集成電路設計流程中的作用 4

    教材思考題和習題解答 4



    第2章 
    Verilog HDL基礎知識
    7

    2.1  Verilog HDL的語言要素 7

    2.2  數據類型 8

    2.3  運算符 8

    2.4  模塊 13

    教材思考題和習題解答 14



    第3章 
    Verilog HDL程序設計語句和描述方式 16

    3.1  數據流建模 16

    3.2  行為級建模 21

    3.3  結構化建模 30

    教材思考題和習題解答 37



    第4章 
    Verilog HDL數字邏輯電路設計方法 43

    4.1  Verilog HDL的設計思想和可綜合特性 43

    4.2  組合電路的設計 50

    4.2.1  數字加法器 50

    4.2.2  數據比較器 50

    4.2.3  數據選擇器 53

    4.2.4  數字編碼器 54

    4.2.5  數字譯碼器 56

    4.2.6  奇偶校驗器 59

    *4.2.7  其它類型的組合電路 59

    4.3  時序電路的設計 62

    4.3.1  觸發器 62

    4.3.2  計數器 64

    4.3.3  移位寄存器 72

    4.3.4  序列信號發生器 74

    *4.3.5  分頻器 77

    4.4  有限同步狀態機 80

    教材思考題和習題解答 89



    第5章 
    仿真驗證與Testbench編寫 97

    5.1  Verilog HDL電路仿真和驗證概述 97

    5.2  Verilog HDL測試程序設計基礎 98

    5.2.1  組合邏輯電路仿真環境 98

    5.2.2  時序邏輯電路仿真環境 103

    5.3  與仿真相關的繫統任務 106

    5.3.1  $display和$write 106

    5.3.2  $monitor和$strobe 107

    5.3.3  $time和 $realtime 109

    5.3.4  $finish和 $stop 110

    5.3.5  $readmemh和$readmemb 111

    5.3.6  $random 112

    5.4  信號時間賦值語句 114

    5.4.1  時間延遲的描述形式 114

    5.4.2  邊沿觸發事件控制 118

    5.4.3  電平敏感事件控制 119

    5.5  任務和函數 120

    5.5.1  任務(task) 120

    5.5.2  函數(function) 122

    5.5.3  任務與函數的區別 123

    5.6  典型測試向量的設計 126

    5.6.1  變量初始化 126

    5.6.2  數據信號測試向量的產生 126

    5.6.3  時鐘信號測試向量的產生 127

    5.6.4  總線信號測試向量的產生 129

    5.7  用戶件模型 132

    5.7.1  組合電路UDP 132

    5.7.2  時序電路UDP 133

    5.8  基件和模塊的延時建模 134

    5.8.1  門級延時建模 134

    5.8.2  模塊延時建模 135

    5.8.3  與時序檢查相關的繫統任務 137

    5.9  編譯預處理語句 141

    5.10  Verilog HDL測試方法簡介 141

    教材思考題和習題解答 141



    第6章 
    Verilog HDL高級程序設計舉例 151

    6.1  Verilog HDL典型電路設計 151

    6.1.1  向量乘法器 151

    6.1.2  除法器 152

    6.1.3  相關器 155

    6.1.4  鍵盤掃描程序 155

    6.1.5  查找表矩陣運算 157

    6.1.6  巴克碼相關器設計 158

    6.1.7  數字頻率計 161

    6.1.8  簡易微處理器的設計 166

    *6.2  FPGA與DSP外部拓展接口(XINTF)通信舉例 168

    *6.3  FPGA從ADC采集數據舉例
    181

    *6.4  FPGA功耗測試 190

    教材思考題和習題解答 191



    第7章 
    仿真測試工具和綜合工具
    219

    教材思考題和習題解答 246



    附錄  模擬試題 252

    模擬試題(一) 252

    模擬試題(二) 254

    模擬試題(三) 257

    模擬試題(四) 260



    參考文獻 262

    前言
    本書與“十二五”普通高等教育本科規劃教材《Verilog HDL 數字集成電路設計原理與應用(第二版)》(蔡覺平,西安電子科技大學出版社,2016)相配套,主要用於Verilog HDL的上機實驗,是相關課程理論教學的補充。本書通過對比性例程,對Verilog HDL基本語法和設計規則進行了詳細的分析,給出了大量數字集成電路基本電路的設計例程和一些具有典型特點的中小規模數字集成電路實例,有助於讀者對Verilog HDL的學習。
    此外,為了擴大設計的可參考性,在配套教材的基礎上,增加了一些相關的例程(以 *標示的內容),以提高讀者靈活運用該語言的能力。
    十分感謝對於本書的出版作出貢獻的老師和學生們。感謝湘潭大學黃嵩人教授、西安交通大學張鴻教授、北京工業大學侯立剛教授、西北工業大學張盛兵教授對本書提出的建設性意見;感謝馬原、徐維佳、宋喆喆、同亞娜和溫凱林等同學在集成電路設計流程過程、代碼質量評估等方面大量的實際工作;感謝課題組其他同學對於本書出版所作出的努力。
    本書共分7章,由蔡覺平統稿,馮必先完成了第1~4章的內容和程序驗證,翁靜純完成了第5~7章的內容和程序驗證,國際留學生阮文長和王科完成了部分程序的驗證工作。
    希望本書的出版,能為致力於集成電路設計的同學和工程師提供幫助。





    本書與“十二五”普通高等教育本科規劃教材《Verilog HDL 數字集成電路設計原理與應用(第二版)》(蔡覺平,西安電子科技大學出版社,2016)相配套,主要用於Verilog HDL的上機實驗,是相關課程理論教學的補充。本書通過對比性例程,對Verilog HDL基本語法和設計規則進行了詳細的分析,給出了大量數字集成電路基本電路的設計例程和一些具有典型特點的中小規模數字集成電路實例,有助於讀者對Verilog HDL的學習。

    此外,為了擴大設計的可參考性,在配套教材的基礎上,增加了一些相關的例程(以 *標示的內容),以提高讀者靈活運用該語言的能力。


    十分感謝對於本書的出版作出貢獻的老師和學生們。感謝湘潭大學黃嵩人教授、西安交通大學張鴻教授、北京工業大學侯立剛教授、西北工業大學張盛兵教授對本書提出的建設性意見;感謝馬原、徐維佳、宋喆喆、同亞娜和溫凱林等同學在集成電路設計流程過程、代碼質量評估等方面大量的實際工作;感謝課題組其他同學對於本書出版所作出的努力。

     本書共分7章,由蔡覺平統稿,馮必先完成了第1~4章的內容和程序驗證,翁靜純完成了第5~7章的內容和程序驗證,國際留學生阮文長和王科完成了部分程序的驗證工作。

    希望本書的出版,能為致力於集成電路設計的同學和工程師提供幫助。



                                                                     
    編著者

                                                                
    2016年4月



     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部