[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 數字電路與繫統設計
    該商品所屬分類:研究生 -> 工學
    【市場價】
    982-1424
    【優惠價】
    614-890
    【作者】 丁志傑、趙宏圖、張延軍 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】清華大學出版社 
    【ISBN】9787302526452
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302526452
    叢書名:高等學校電子信息類專業繫列教材

    作者:丁志傑、趙宏圖、張延軍
    出版社:清華大學出版社
    出版時間:2020年12月 


        
        
    "
    內容簡介

    本書在內容和結構上進行了精心的選擇和編排,進一步減少了小規模數字集成電路的內容,突出了中、大、超大規模數字集成電路的應用和數字繫統設計,電子設計自動化等內容,既兼顧了數字電路的基本理論和經典內容。

    作者簡介

    丁志傑,北京理工大學副教授,從事《數字電路》教學多年,有豐富的教學經驗和教材編寫經驗。

    目錄
    第1章 數制與編碼
    1.1 數制
    1.2 數制轉換
    1.2.1 二進制、八進制、十六進制到十進制的轉換
    1.2.2 二進制、八進制、十六進制之間的轉換
    1.2.3 十進制到二進制、八進制、十六進制的轉換
    1.3 二進制符號數的表示方法
    1.3.1 原碼表示法
    1.3.2 反碼表示法
    1.3.3 補碼表示法
    1.3.4 符號數小結
    1.4 二十進制編碼(BCD碼)
    1.5 格雷碼
    1.6 ASCII符

    第1章  數制與編碼


    1.1  數制


    1.2  數制轉換


    1.2.1  二進制、八進制、十六進制到十進制的轉換


    1.2.2  二進制、八進制、十六進制之間的轉換


    1.2.3  十進制到二進制、八進制、十六進制的轉換


    1.3  二進制符號數的表示方法


    1.3.1  原碼表示法


    1.3.2  反碼表示法


    1.3.3  補碼表示法


    1.3.4  符號數小結


    1.4  二十進制編碼(BCD碼)


    1.5  格雷碼


    1.6  ASCII符


    1.7  奇偶檢錯碼和奇偶糾錯碼


    1.7.1  奇偶檢錯碼


    1.7.2  奇偶糾錯碼


    本章小結


    本章習題


     


    第2章  邏輯代數基礎


    2.1  概述


    2.1.1  事物的二值性


    2.1.2  布爾代數


    2.2  邏輯變量和邏輯函數


    2.2.1  基本的邏輯運算和邏輯變量


    2.2.2  邏輯函數


    2.2.3  邏輯函數與邏輯電路的關繫


    2.3  邏輯代數的基本運算規律


    2.3.1  邏輯代數的基本定律


    2.3.2  3個重要規則


    2.3.3  邏輯代數的基本定理


    2.3.4  復合邏輯運算和復合邏輯門


    2.4  邏輯函數的兩種標準形式


    2.4.1  小項和項


    2.4.2  標準表達式和真值表


    2.5  邏輯函數的代數化簡法


    2.5.1  化簡邏輯函數的意義及化簡方法


    2.5.2  代數化簡法


    2.6  邏輯函數的卡諾圖化簡法


    2.6.1  卡諾圖(K圖)


    2.6.2  小項的合並規律


    2.6.3  用卡諾圖化簡邏輯函數


    2.6.4  多輸出邏輯函數的卡諾圖化簡法


    2.7  非完全描述邏輯函數


    2.7.1  非完全描述邏輯函數概述


    2.7.2  利用無關項化簡非完全描述邏輯函數


    2.8  邏輯函數的描述


    2.8.1  邏輯函數的描述方法


    2.8.2  邏輯函數描述方法之間的轉換


    2.9  邏輯函數的QM表格化簡法


    2.9.1  蘊含項,主蘊含項,本質蘊含項


    2.9.2  QM化簡法推演過程


    2.9.3  覆蓋過程


    2.9.4  非完全描述邏輯函數的QM化簡法


    本章小結


    本章習題


     


    第3章  邏輯門電路


    3.1  門電路的主要參數


    3.1.1  靜態參數


    3.1.2  動態參數


    3.2  二極管門電路


    3.2.1  二極管的開關作用


    3.2.2  二極管與門


    3.2.3  二極管或門


    3.3  TTL門電路


    3.3.1  三極管的開關特性


    3.3.2  TTL反相器的電路結構和工作原理


    3.3.3  TTL反相器的靜態特性


    3.3.4  TTL反相器的動態特性


    3.3.5  其他邏輯的TTL門電路


    3.3.6  其他類型的TTL門電路


    3.3.7  TTL集成門電路繫列


    3.4  CMOS門電路


    3.4.1  MOS管的開關特性


    3.4.2  CMOS反相器的電路結構和工作原理


    3.4.3  CMOS反相器的靜態特性


    3.4.4  CMOS反相器的動態特性


    3.4.5  其他邏輯的CMOS門電路


    3.4.6  其他類型的CMOS門電路


    3.4.7  CMOS集成門電路繫列


    3.5  TTL與CMOS電路的級聯


    3.5.1  TTL電路驅動CMOS電路


    3.5.2  CMOS電路驅動TTL電路


    本章小結


    本章習題


     


    第4章  組合邏輯電路


    4.1  概述


    4.1.1  組合邏輯電路的結構特點


    4.1.2  組合邏輯電路的功能特點


    4.2  常用數字集成組合邏輯電路


    4.2.1  編碼器


    4.2.2  譯碼器


    4.2.3  加法器


    4.2.4  數值比較器


    4.2.5  多路選擇器和多路分配器


    4.3  組合電路邏輯分析


    4.3.1  組合電路邏輯分析步驟


    4.3.2  組合電路邏輯分析實例


    4.4  組合電路邏輯設計


    4.4.1  用小規模集成電路(SSI)實現邏輯函數


    4.4.2  用中規模集成電路(MSI)實現邏輯函數


    4.4.3  一般設計步驟和設計舉例


    4.5  組合邏輯電路中的競爭與冒險現像


    4.5.1  競爭與冒險現像的起因和分類


    4.5.2  競爭與冒險現像的識別


    4.5.3  消除冒險現像的方法


    4.5.4  動態冒險現像


    本章小結


    本章習題


     


    第5章  鎖存器與觸發器


    5.1  基本RS鎖存器


    5.1.1  電路結構


    5.1.2  功能分析


    5.1.3  功能描述


    5.1.4  集成基本RS鎖存器


    5.1.5  防抖動開關


    5.1.6  基本RS鎖存器存在的問題


    5.2  門控RS鎖存器


    5.2.1  電路結構


    5.2.2  功能分析


    5.2.3  功能描述


    5.2.4  門控RS鎖存器的特點


    5.3  D鎖存器


    5.3.1  電路結構


    5.3.2  功能分析


    5.3.3  D鎖存器功能描述


    5.3.4  集成D鎖存器


    5.4  主從式RS觸發器


    5.4.1  電路結構


    5.4.2  功能分析


    5.4.3  功能描述


    5.5  TTL主從式JK觸發器


    5.5.1  電路結構


    5.5.2  功能分析


    5.5.3  功能描述


    5.6  TTL維持阻塞式D觸發器


    5.6.1  電路結構


    5.6.2  功能分析


    5.6.3  功能描述


    5.6.4  集成維持阻塞式D觸發器


    5.7  CMOS鎖存器與觸發器


    5.7.1  CMOS鎖存器


    5.7.2  CMOS觸發器


    5.8  T觸發器和T′觸發器


    5.8.1  T觸發器


    5.8.2  T′觸發器


    5.9  觸發器的功能轉換


    5.9.1  狀態方程法


    5.9.2  驅動表法


    5.1  0觸發器的動態參數


    本章小結


    本章習題


     


    第6章  常用時序電路組件


    6.1  寄存器


    6.1.1  鎖存器組成的寄存器


    6.1.2  觸發器組成的寄存器


    6.2  異步計數器


    6.2.1  異步二進制加法計數器


    6.2.2  脈衝反饋復位(置位)式任意模M異步加法計數器


    6.2.3  異步二進制減法計數器


    6.2.4  可逆異步二進制計數器


    6.2.5  n位異步二進制計數器小結


    6.3  同步二進制計數器


    6.4  集成計數器


    6.4.1  異步2510計數器74LS290


    6.4.2  同步二進制計數器74LS161/74LS163


    6.4.3  其他集成計數器


    6.5  移位寄存器


    6.5.1  移位寄存器簡介


    6.5.2  移位寄存器的應用


    6.5.3  多功能移位寄存器74LS194


    6.5.4  其他集成移存器


    本章小結


    本章習題


     


    第7章  時序邏輯電路


    7.1  概述


    7.1.1  同步時序電路的特點與結構


    7.1.2  同步時序電路的別名——同步狀態機


    7.1.3  同步時序電路的描述方法


    7.2  同步時序邏輯電路——狀態機的分析


    7.2.1  同步時序電路的分析步驟


    7.2.2  同步時序電路分析實例


    7.3  同步時序邏輯電路——狀態機的設計


    7.3.1  原始狀態圖(表)的建立——邏輯抽像


    7.3.2  狀態化簡


    7.3.3  狀態分配


    7.3.4  觸發器類型的選擇


    7.3.5  邏輯方程組的獲取


    7.4  實用時序邏輯電路的分析與設計


    7.4.1  同步計數器和同步分頻器


    7.4.2  移存型計數器


    7.4.3  同步序列信號發生器


    7.4.4  阻塞反饋式異步計數/分頻器


    本章小結


    本章習題


     


    第8章  脈衝信號的產生和整形


    8.1  概述


    8.2  連續矩形脈衝產生電路


    8.2.1  環形振蕩器


    8.2.2  對稱式多諧振蕩器


    8.2.3  石英晶體多諧振蕩器


    8.3  單穩態觸發器


    8.3.1  由門電路組成的單穩態觸發器


    8.3.2  集成單穩態觸發器


    8.3.3  單穩態觸發器的應用


    8.4  施密特觸發器


    8.4.1  由門電路組成的施密特觸發器


    8.4.2  集成施密特觸發器


    8.4.3  施密特觸發器的應用


    8.5  555定時器


    8.5.1  555定時器的電路結構與功能


    8.5.2  555定時器的應用


    本章小結


    本章習題


     


    第9章  數模轉換與模數轉換


    9.1  數模轉換器


    9.1.1  權電阻型DAC


    9.1.2  R2R  T形電阻網絡DAC


    9.1.3  倒T形電阻網絡DAC


    9.1.4  DAC中的電子開關


    9.1.5  單片集成DAC  AD7520及其用法


    9.1.6  DAC的主要參數


    9.1.7  DAC的應用


    9.2  模數轉換器


    9.2.1  采樣保持


    9.2.2  量化與編碼


    9.2.3  並行比較式ADC


    9.2.4  計數式ADC


    9.2.5  逐次比較式ADC


    9.2.6  雙積分式ADC


    9.2.7  集成ADC舉例


    9.2.8  ADC的參數


    本章小結


    本章習題


     


    第10章  存儲器及可編程器件概述


    10.1  隻讀存儲器ROM


    10.1.1  ROM的結構與原理


    10.1.2  用ROM實現邏輯函數


    10.1.3  現代ROM的行列譯碼結構


    10.1.4  PROM、EPROM、EEPROM


    10.1.5  現代ROM的內部結構及ROM的擴展


    10.2  隨機存取存儲器RAM


    10.2.1  概述


    10.2.2  靜態隨機存取存儲器SRAM


    10.2.3  動態隨機存取存儲器DRAM


    10.2.4  用RAM實現邏輯函數


    10.3  可編程邏輯器件PLD


    10.3.1  可編程邏輯陣列PLA


    10.3.2  可編程邏輯器件PAL、GAL


    10.3.3  復雜可編程邏輯器件CPLD


    10.3.4  現場可編程門陣列FPGA簡介 


    本章小結


    本章習題


     


    第11章  ASM圖與繫統設計


    11.1  寄存器傳輸級


    11.2  算法狀態機


    11.2.1  ASM圖


    11.2.2  ASM圖舉例


    11.3  交通燈控制器的設計


    11.3.1  繫統分析


    11.3.2  繫統構成


    11.3.3  交通燈控制繫統的ASM圖


    11.3.4  控制器的設計


    11.3.5  定時器及組合模塊的設計


    11.3.6  交通燈控制器繫統的實現


    11.4  數字乘法器的設計


    11.4.1  繫統分析


    11.4.2  總體方案


    11.4.3  ASM圖


    11.4.4  控制器的設計


    11.4.5  寄存器及組合模塊的設計


    11.4.6  數字乘法器的實現


    本章小結


    本章習題


     


    參考文獻


    附錄  基本符號對照表

    前言
    本書基於編者多年教學經驗編寫而成。
    雖然現代集成電路技術的發展迅速,數字集成電路的功能越來越強大,但其應用基礎還是傳統的數字電路的內容。本著加強基礎的原則,本書在重點講述數字電路的分析與設計方法之外,還簡要介紹了電子信息類專業學生應該具備的數制與編碼、邏輯門電路基礎、波形的產生與整形、數模/模數轉換及現代廣泛應用的可編程器件方面的知識。隻有打牢基礎,纔能在實踐中學習、掌握新器件的使用方法,從而在求職、開發新產品等領域的競爭中立於不敗之地。
    絕大多數半導體生產廠商發布的數據手冊、可編程器件的開發工具、數字繫統的軟件仿真工具等都采用了傳統的符號繫統。本著教學與實際相結合的原則,本書采用了傳統的符號繫統,以方便讀者與半導體生產廠商的數據手冊對接。
    為方便雙語教學,書中給出了部分所涉及專業術語的英文名稱。對於摘自英文資料的部分插圖,未進行翻譯和規範化處理。
    本書給出了豐富的例題,每一章後的習題都比較豐富,以便於讀者自學。

    本書基於編者多年教學經驗編寫而成。


    雖然現代集成電路技術的發展迅速,數字集成電路的功能越來越強大,但其應用基礎還是傳統的數字電路的內容。本著加強基礎的原則,本書在重點講述數字電路的分析與設計方法之外,還簡要介紹了電子信息類專業學生應該具備的數制與編碼、邏輯門電路基礎、波形的產生與整形、數模/模數轉換及現代廣泛應用的可編程器件方面的知識。隻有打牢基礎,纔能在實踐中學習、掌握新器件的使用方法,從而在求職、開發新產品等領域的競爭中立於不敗之地。


    絕大多數半導體生產廠商發布的數據手冊、可編程器件的開發工具、數字繫統的軟件仿真工具等都采用了傳統的符號繫統。本著教學與實際相結合的原則,本書采用了傳統的符號繫統,以方便讀者與半導體生產廠商的數據手冊對接。


    為方便雙語教學,書中給出了部分所涉及專業術語的英文名稱。對於摘自英文資料的部分插圖,未進行翻譯和規範化處理。


    本書給出了豐富的例題,每一章後的習題都比較豐富,以便於讀者自學。


    本書第1章、第5章、第6章、第9章、第10章和第11章由丁志傑編寫,第2章、第4章、第7章由趙宏圖編寫,第3章、第8章由張延軍編寫。丁志傑負責全書的組織、策劃、統稿和定稿工作。


    由於編者水平有限,加上時間倉促,書中難免會有欠妥之處,敬請讀者批評指正。


    編者2020年10月於北京

















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部