[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • EDA技術與實踐教程(宋烈武)
    該商品所屬分類:工業技術 -> 一般工業技術
    【市場價】
    419-608
    【優惠價】
    262-380
    【作者】 宋烈武 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學圖書  工業技術  一般工業技術 
    【出版社】化學工業出版社 
    【ISBN】9787122334763
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787122334763
    作者:宋烈武

    出版社:化學工業出版社
    出版時間:2019年03月 

        
        
    "

    內容簡介
    本書提供了參考授課計劃及自學建議,方便教師授課和學生自學。全書整體分為基礎篇、實戰篇兩部分,兩者相輔相成、有機融合。本書主要介紹FPGA/CPLD的結構與工作原理、配置與編程,QuartusⅡ設計流程,硬件描述語言VHDL的語法概要並給出了電路的設計。書中結合大量的實例進行講解,使讀者可以很容易從模仿中快速學會用VHDL設計電路,並應用EDA技術解決中、小規模的繫統設計問題。本書可作為高職院校電子類、通信類及計算機類等相關專業二年級及以上學生的教材,也可作為電子技術工程技術人員的參考用書。
    目錄
    第1篇EDA技術基礎

    第1章概述 / 2

    1.1EDA技術的含義2

    1.2EDA技術典型應用3

    1.3EDA技術的主要內容4

    1.4EDA技術的特點及發展趨勢5

    1.5如何學習EDA技術6

    第1篇EDA技術基礎



    第1章概述 / 2



      1.1EDA技術的含義2



    1.2EDA技術典型應用3



    1.3EDA技術的主要內容4



    1.4EDA技術的特點及發展趨勢5



    1.5如何學習EDA技術6







    第2章可編程邏輯器件 / 8



    2.1概述8



    2.1.1可編程邏輯器件的發展歷程8



    2.1.2簡單可編程邏輯器件的基本結構12



    2.1.3可編程邏輯器件的主要分類12



    2.2大規模可編程邏輯器件13



    2.2.1FPGA的結構與工作原理13



    2.2.2CPLD的結構與工作原理17



    2.2.3其他類型的FPGA和CPLD19



    2.2.4Altera成熟器件及命名規則19



    2.2.5FPGA和CPLD器件選擇22



    2.2.6Altera配置芯片簡介23



    2.3Altera新型繫列器件簡介23



    2.3.1Stratix繫列高端FPGA簡介23



    2.3.2Arria繫列中端FPGA簡介24



    2.3.3Cyclone繫列低端FPGA簡介24



    2.3.4MAXⅡ繫列低成本CPLD簡介25



    2.3.5HardCopy ASIC繫列簡介25



    2.4FPGA/CPLD器件的配置與編程26



    2.4.1下載電纜26



    2.4.2配置與編程模式28



    2.4.3配置方式30







    第3章QuartusⅡ設計基礎 / 33



    3.1概述33



    3.2QuartusⅡ的安裝與授權34



    3.2.1繫統要求34



    3.2.2QuartusⅡ的安裝34



    3.2.3QuartusⅡ的授權36



    3.3QuartusⅡ設計流程39



    3.3.1設計輸入40



    3.3.2設計實現41



    3.3.3編程下載42



    3.3.4設計驗證42



    3.4QuartusⅡ設計實例43



    3.4.1輸入設計與編譯43



    3.4.2仿真及時序分析48



    3.4.3下載實現及硬件測試53



    3.4.4可參數化宏模塊的調用60



    3.4.5Dsp Builder的應用66



    3.4.6設計一個簡單的CPU繫統75







    第4章硬件描述語言VHDL語法概要 / 84



    4.1概述84



    4.1.1VHDL的特點84



    4.1.2學習VHDL的注意事項86



    4.2VHDL程序基本結構87



    4.2.1庫87



    4.2.2實體89



    4.2.3結構體90



    4.3VHDL語言要素91



    4.3.1文字規則91



    4.3.2數據對像92



    4.3.3數據類型93



    4.3.4類型轉換95



    4.3.5運算操作符95



    4.3.6屬性97



    4.4VHDL的基本描述語句98



    4.4.1順序語句98



    4.4.2並行語句100



    4.4.3其他語句103



    4.5子程序、程序包和配置104



    4.5.1子程序104



    4.5.2程序包106



    4.5.3配置107







    第5章常用模塊電路的VHDL設計 / 108



    5.1常用組合邏輯電路的設計108



    5.1.1七段譯碼器108



    5.1.2優先編碼器110



    5.1.3多路選擇器111



    5.1.4求補器111



    5.1.5三態門及總線緩衝器113



    5.2時序邏輯電路的設計115



    5.2.1觸發器的設計115



    5.2.2移位寄存器的設計117



    5.2.3計數器的設計123



    5.3狀態機的設計129



    5.3.1摩爾狀態機的設計129



    5.3.2米裡狀態機的設計132



    5.4存儲器的設計134



    5.4.1隻讀存儲器的設計134



    5.4.2隨機存儲器的設計138



    5.4.3堆棧的設計140







    第2篇實戰訓練



    第6章基礎訓練 / 144



    6.1一位全加器原理圖輸入設計144



    6.2譯碼顯示電路的設計145



    6.3含異步清零和同步時鐘使能的4位十進制加法計數器的設計145



    6.4數控分頻器的設計146



    6.5用狀態機實現序列檢測器的設計149



    6.6簡易正弦信號發送器的設計152







    第7章綜合訓練 / 157



    7.1鍵盤輸入電路的設計157



    7.2動態輸出4位十進制頻率計的設計165



    7.3數字鐘的設計171



    7.4DDS信號源的設計178



    7.5基於Dsp Builder使用IP Core的FIR濾波器的設計182



    7.6基於NIOSⅡ的SD卡音樂播放器的實現189







    第8章實戰實例 / 197



    8.1交通燈197



    8.2函數信號發生器202



    8.3出租車計費器205



    8.44位頻率計212



    8.5萬年歷213







    附錄 / 221



    附錄ADE2基本資料221



    附錄B基於MAXⅡEPM240芯片的WZ型小繫統實驗板基本資料228







    參考文獻 / 230


     

    前言
    電子設計自動化(Electronic Design Automation,EDA)是現代電子信息工程領域的一門新技術,它是在先進的計算機工作平臺上開發出來的一整套電子繫統設計的軟硬件工具,並提供了先進的電子繫統設計方法。EDA技術是電子設計技術和電子制造技術的核心,其發展和推廣應用極大地推動了電子信息行業的發展。

    現在的大規模FPGA器件已經相當普及,電路規模發展到現在的百萬門級,半導體蝕刻技術已經可以達到14nm,FPGA內部也已經集成CPU軟核或硬核,同時提供復雜DSP的專用IP,使得SOPC技術成為一個發展的方向。EDA技術及其應用水平已成為一個國家電子信息工業現代化的重要標志之一。

    EDA已經成為電子設計的主要手段,使工程師們在高效設計的同時,可以進行精確的硬件抽像和仿真,保證產品開發的短周期和高質量,作為一個電子技術工程技術人員不懂VHDL語言和FPGA/CPLD器件設計,就像在計算機時代不會使用計算機一樣可怕。EDA技術是電子技術類課程教學改革的重要方向,是培養出適應21世紀發展需要的高素質的全面人纔的必不可少的課程。

    電子設計自動化(Electronic Design Automation,EDA)是現代電子信息工程領域的一門新技術,它是在先進的計算機工作平臺上開發出來的一整套電子繫統設計的軟硬件工具,並提供了先進的電子繫統設計方法。EDA技術是電子設計技術和電子制造技術的核心,其發展和推廣應用極大地推動了電子信息行業的發展。



    現在的大規模FPGA器件已經相當普及,電路規模發展到現在的百萬門級,半導體蝕刻技術已經可以達到14nm,FPGA內部也已經集成CPU軟核或硬核,同時提供復雜DSP的專用IP,使得SOPC技術成為一個發展的方向。EDA技術及其應用水平已成為一個國家電子信息工業現代化的重要標志之一。



    EDA已經成為電子設計的主要手段,使工程師們在高效設計的同時,可以進行精確的硬件抽像和仿真,保證產品開發的短周期和高質量,作為一個電子技術工程技術人員不懂VHDL語言和FPGA/CPLD器件設計,就像在計算機時代不會使用計算機一樣可怕。EDA技術是電子技術類課程教學改革的重要方向,是培養出適應21世紀發展需要的高素質的全面人纔的必不可少的課程。



    EDA技術課程主要內容包括三個部分:①大規模可編程器件,它是利用EDA技術進行電子繫統設計的載體;②硬件描述語言,它是利用EDA技術進行電子繫統設計的主要表達手段;③軟件開發工具,它是利用EDA技術進行電子繫統設計的智能化的自動化設計工具。“EDA技術”課程主要是讓學生了解EDA的基本概念和基本原理,掌握HDL編寫規範,掌握邏輯綜合的理論和方法,使用EDA工具軟件進行相關的實踐並從事簡單繫統的設計,提高工程實踐能力;學會應用EDA技術解決一些簡單的電子設計問題。該課程立足於電子硬件設計,但同時以計算機軟件作為設計的工具和輔助手段。



    2006年國家電工電子項目在武漢職業技術學院建立“EDA實訓基地”。Altera公司於2008年9月捐贈武漢職業技術學院價值53Altera產品,隆重舉行了武漢職業技術學院-Altera EDA/SOPC聯合實驗室揭牌暨捐贈儀式,成為Altera在中國的個高職院校的聯合實驗室(截至到現在有包括清華大學在內的等百餘個聯合實驗室)。武漢職業技術學院參與發起並成為“中南地區EDA/SOPC技術研究會”常務理事單位之一。



    武漢職業技術學院於2000年開設“EDA技術”課程,根據高職學生培養實用型、技術應用型人纔的目標,以實用、夠用為原則,編寫了“EDA技術”講義,試用5年來效果較好,於2006年出版了《EDA技術實用教程》,2009年出版了《EDA技術與實踐教程》。在使用中發現仍存在很多問題:一是部分代碼有誤;二是以MAX plusⅡ為藍本,不符合時代進步的要求;三是內容過於龐雜,難以符合實用、夠用的原則。



    還有一個問題很糾結。各大公司的設計套件平均每半年更新一次,軟件的更新意味著硬件的落後,也要隨之更新,這在各個院校都很難實現。要不要追求新版本也是一個問題。本課程EDA技術,應該說是所有電子大類及相關專業應該掌握的技術,一種入門級普及教育;Altera於2015年被Intel收購,作為英特爾的新業務部門運營,稱為可編程解決方案事業部(PSG),其開發工具QuartusⅡ更名為Quartus Prime,主要在性能、效率、可用性上有所提升。思慮再三,暫不更新教學軟件的版本。



    本書采用結合傳統與現代高職院校推崇的“基於行動導向”之間的方法編寫,並提供參考授課計劃及自學方法,整體分為基礎篇、實戰篇兩部分,兩者相輔相成、有機融合。根據高職學生培養實用型、技術應用型人纔的目標,以實用、夠用為原則,理論知識盡量簡明,重視實踐環節。書中給出了大量的實例,通過這些實例,讀者可以很容易從模仿中快速學會用VHDL設計電路,並應用EDA技術解決一些中、小規模的繫統設計問題。



    本書在正文前提供了參考授課計劃及自學建議,方便教師授課和學生自學。第1章概述了EDA技術的主要內容、特點及發展趨勢;第2章簡要介紹了FPGA/CPLD的發展歷程、結構與工作原理及特點,Altera的成熟器件、新型器件和配置芯片,FPGA/CPLD器件的配置與編程;第3章介紹了QuartusⅡ設計流程,分步驟通過6個設計實例介紹了輸入設計與編譯、仿真及時序分析、下載實現及硬件測試、可參數化宏模塊的調用及SOPC技術入門,涵蓋了QuartusⅡ設計的主要內容,方便讀者快速掌握EDA開發工具的使用方法;第4章介紹了硬件描述語言VHDL語法概要,為突出重點、篇幅,例題均標注在第5章的實例中;第5章用VHDL給出了電路的設計,讓學生從模仿中快速用VHDL設計電路;第6章由淺入深,精選了6個基礎訓練項目,建議教學活動由此展開;第7章精選了6個綜合訓練項目,前4個訓練項目讓讀者充分體會由電子積木(模塊)構建數字繫統設計,後2個訓練項目讓讀者體會到高起點開發應用之快樂,可供小型課程設計之用。第8章選取了本學期幾個完成了硬件實驗的學生作品,以期作拋磚引玉之用。



    本書采用Altera大學計劃全球推廣DE2開發板為藍本,描述實踐環節。本教材提供的所有VHDL代碼均在QuartusⅡ9.0 SP1上綜合通過,部分例題給出了仿真結果。



    本書由武漢職業技術學院宋烈武編著,參加編寫的有武漢職業技術學院王碧芳、楊慧、虞滄、曹艷,仙桃職業技術學院胡進德,湖北眾有科技有限公司的劉忠成參與了部分編寫,還有第8章收錄了通信17304班的劉澤林、劉永萬、蘇昌鎬,電信17202班的夏天等同學的作品,在此表示衷心的感謝。



    由於編者水平有限,書中難免存在不足之處,敬請讀者批評指正。編者E-mail:dzgcslw@163.com。



    謝謝關愛本教材的朋友!







    編者



    2018年12月


     





     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部