[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 高性能集成電路設計
    該商品所屬分類:工業技術 -> 電子通信
    【市場價】
    1081-1568
    【優惠價】
    676-980
    【作者】 (美)薩爾曼,(美)弗裡德曼 著,範寶峽 等譯 
    【所屬類別】 圖書  工業技術  電子通信  微電子學、集成電路(IC) 
    【出版社】電子工業出版社 
    【ISBN】9787121250903
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝

    是否套裝:否
    國際標準書號ISBN:9787121250903
    叢書名:微電子與集成電路叢書

    作者:(美)薩爾曼,(美)弗裡德曼著,範寶峽等譯
    出版社:電子工業出版社
    出版時間:2015年01月 


        
        
    "

    內容簡介
    本書旨在整合目前納米級集成電路主要關注的以互連為中心的設計方法。全書分為五個部分,從互連網絡、電源管理、時鐘同步、噪聲隔離等幾個方面來介紹以互連為中心的集成電路設計。第一部分主要介紹集成電路的發展史以及從晶體管和互連的角度來看工藝縮放技術;第二部分主要介紹互連網絡,包括互連的一般特性、大型網絡中的互連傳輸特性、串擾以及全局信號傳輸方法;第三部分主要介紹跟互連相關的電源管理,具體為電源的產生、分布、計算機輔助設計、降低供電噪聲的方法以及功耗;第四部分主要介紹同步繫統,包含同步過程、片上時鐘的生成、同步繫統、片上時鐘分布等;第五部分主要探討大規模混合信號繫統,分析了集成電路中的襯底耦合噪聲並介紹了降低該類噪聲的方法。
    目錄
    第Ⅰ部分 背 景 知 識
    第1章 引言
    1.1 歷史簡介
    1.1.1 晶體管
    1.1.2 集成電路
    1.2 多樣的摩爾和超越摩爾
    1.3 IC設計目標回顧
    1.4 本書架構
    第2章 縮放技術
    2.1 器件縮放
    2.1.1 MOS器件原理
    2.1.2 恆定電場縮放
    2.1.3 恆定電壓縮放
    2.1.4 器件的縮放方案比較


    第Ⅰ部分  背 景 知 識

    第1章  引言

    1.1  歷史簡介

    1.1.1  晶體管

    1.1.2  集成電路

    1.2  多樣的摩爾和超越摩爾

    1.3  IC設計目標回顧

    1.4  本書架構





    第2章  縮放技術

    2.1  器件縮放

    2.1.1  MOS器件原理

    2.1.2  恆定電場縮放

    2.1.3  恆定電壓縮放

    2.1.4  器件的縮放方案比較

    2.2  小尺寸效應

    2.2.1  閾值電壓滾降

    2.2.2  漏感應勢壘降低

    2.2.3  速度飽和

    2.2.4  遷移率退化

    2.3  器件優化

    2.3.1  非均勻溝道摻雜

    2.3.2  應變工程

    2.3.3  高K和金屬柵結構的組合

    2.3.4  多柵器件

    2.4  互連的縮放

    2.4.1  全局與局部互連

    2.4.2  理想縮放

    2.4.3  更加實際的縮放方案

    2.4.4  不同互連線縮放方案的比較

    2.5  互連的改進

    2.5.1  超低K介質材料

    2.5.2  三維集成

    2.5.3  片上光互連

    2.5.4  碳基片上互連

    2.6  本章小結





    第Ⅱ部分  互 連 網 絡



    第3章  互連模型及其提取

    3.1  互連設計標準

    3.1.1  延遲

    3.1.2  帶寬

    3.1.3  噪聲

    3.1.4  功耗

    3.1.5  物理面積

    3.2  互連電容

    3.2.1  互連電容的組成

    3.2.2  互連線的電容提取

    3.3  互連電阻

    3.3.1  銅電阻率

    3.3.2  互連電阻的提取

    3.4  互連電感

    3.4.1  電感的定義

    3.4.2  電感的頻率的相關

    3.4.3  片上電感何時重要

    3.4.4  互連電感提取過程

    3.5  本章總結



    第4章  信號傳輸分析

    4.1  集總模型和分布式模型

    4.1.1  集總模型

    4.1.2  分布式傳輸線模型

    4.1.3  分布式互連線的集總表示

    4.1.4  確定最高頻率

    4.1.5  封閉解

    4.2  模型降階

    4.2.1  RC連線的Elmore延遲

    4.2.2  Wyatt近似

    4.2.3  延遲界限: PenfieldRubinstein算法

    4.2.4  矩匹配

    4.2.5  漸進波形估計

    4.2.6  計算RLC樹的矩

    4.2.7  AWE方法的優點與局限性

    4.2.8  傳遞函數的直接截斷法(DTT)

    4.2.9  RLC線的Elmore延遲

    4.2.10  Krylov空間技術

    4.3  本章總結



    第5章  互連耦合噪聲

    5.1  主動和被動的器件噪聲

    5.1.1  熱噪聲

    5.1.2  散粒噪聲

    5.1.3  閃爍噪聲

    5.2  容性耦合噪聲

    5.2.1  耦合電容的縮放特點

    5.2.2  耦合電容與翻轉率的關繫

    5.2.3  容性耦合噪聲的建模

    5.3  感性耦合噪聲

    5.4  總線結構的互連線

    5.5  耦合噪聲的影響

    5.5.1  功能失效

    5.5.2  毛刺功耗

    5.5.3  延遲不確定性的增加

    5.6  本章總結



    第6章  全局信號

    6.1  互連技術優化

    6.1.1  構建互連樹結構

    6.1.2  線寬、 線間距及線形

    6.2  電路級信號

    6.2.1  容性負載: 錐形中繼器設計

    6.2.2  錐形指數因子

    6.2.3  錐形指數因子的改進

    6.2.4  電阻負載: RC線中中繼器的插入

    6.2.5  最優的中繼器數量和大小

    6.2.6  感性負載: RLC互連線中的中繼器插入

    6.2.7  樹形互連結構中的中繼器插入

    6.2.8  插入中繼器以降低耦合噪聲

    6.2.9  屏蔽線插入

    6.2.10  調整門的尺寸

    6.2.11  信號重布線及線重新排序

    6.3  全局信號的權衡

    6.4  本章總結





    第Ⅲ部分  電 源 管 理



    第7章  電源的產生

    7.1  穩壓器

    7.1.1  穩壓效率

    7.1.2  能量效率

    7.2  線性穩壓器

    7.2.1  基本特征

    7.2.2  低壓差穩壓器

    7.2.3  低壓差穩壓器設計中的權衡

    7.3  開關電容變換器

    7.3.1  基本特征

    7.3.2  能量效率

    7.4  開關DCDC變換器

    7.4.1  基本特征

    7.4.2  開關降壓變換器

    7.4.3  電壓紋波

    7.4.4  能量效率

    7.5  穩壓器比較

    7.6  片上電源轉換

    7.6.1  機會

    7.6.2  挑戰

    7.7  本章總結



    第8章  電源分布網絡

    8.1  電源和電源噪聲

    8.1.1  電源噪聲

    8.1.2  電源噪聲的影響

    8.1.3  電源噪聲的縮放趨勢

    8.1.4  電源地分布繫統

    8.2  片上電源分布結構

    8.2.1  路由網絡

    8.2.2  不規則網格結構網絡

    8.2.3  規則的網格結構網絡

    8.2.4  電源和地平面

    8.2.5  級聯的電源地環

    8.2.6  混合的電源和地網絡

    8.3  輸出阻抗特性

    8.3.1  目標阻抗

    8.3.2  去耦電容和諧振

    8.3.3  片上去耦電容的分類

    8.3.4  不同電源網格類型的阻抗

    8.4  本章小結



    第9章  計算機輔助設計與分析

    9.1  片上電源網絡設計流程

    9.1.1  布局規劃前(prefloorplan)階段

    9.1.2  布局規劃後階段

    9.1.3  版圖後階段

    9.2  RLC阻抗建模

    9.3  估算去耦電容

    9.3.1  解析技術

    9.3.2  基於仿真的技術

    9.4  表征負載電路

    9.4.1  使用無源器件

    9.4.2  利用分段線性電流源

    9.4.3  輸入開關模式的依賴關繫

    9.5  片上電源/地噪聲分析

    9.5.1  靜態分析技術

    9.5.2  動態分析

    9.5.3  層次化分析

    9.5.4  統計分析

    9.6  本章小結



    第10章  電源降噪技術

    10.1  電路級降噪

    10.1.1  拓撲結構和布線寬度的優化

    10.1.2  去耦電容的布局

    10.1.3  利用阻尼因子

    10.1.4  偏差和擺率控制

    10.1.5  反相時鐘樹

    10.1.6  分散譜時鐘的產生

    10.2  繫統級降噪

    10.2.1  感知電源噪聲的布局

    10.2.2  封裝和板級特性

    10.2.3  異步電路設計

    10.3  本章小結



    第11章  功耗

    11.1  瞬態功耗

    11.1.1  動態功耗

    11.1.2  短路功耗

    11.2  靜態功耗

    11.2.1  反偏pn結漏電電流

    11.2.2  亞閾值漏電電流

    11.2.3  亞閾值電流建模

    11.2.4  亞閾值斜率

    11.2.5  柵氧隧穿漏電電流

    11.2.6  柵極漏電電流性質

    11.2.7  高介電常數柵極電介質材料

    11.2.8  高介電常數電介質與金屬柵

    11.2.9  直流功耗

    11.3  本章小結



    第Ⅳ部分  同    步



    第12章  同步理論與選擇

    12.1  布爾信號的分類

    12.1.1  等時與非等時信號

    12.1.2  同步與異步信號

    12.2  全同步電路操作

    12.2.1  時序關繫

    12.2.2  優點

    12.2.3  局限性

    12.3  自定時電路操作

    12.3.1  時序關繫

    12.3.2  優點

    12.3.3  局限性

    12.3.4  全同步對自定時繫統

    12.4  GALS電路操作

    12.4.1  GALS繫統中的同步器

    12.4.2  優點

    12.4.3  局限性

    12.5  本章小結



    第13章  片上時鐘生成

    13.1  環振

    13.1.1  環振的頻率穩定性

    13.1.2  多相位時鐘生成

    13.2  晶振

    13.2.1  晶體諧振器

    13.2.2  標準晶振

    13.2.3  皮爾斯振蕩器

    13.3  鎖相環(PLL)

    13.3.1  數字繫統中的PLL

    13.3.2  繫統層面的特性

    13.3.3  鋻相器

    13.3.4  鋻頻鋻相器(PFD)

    13.3.5  電荷泵

    13.3.6  環路濾波器

    13.3.7  壓控振蕩器

    13.3.8  頻率響應和PLL環路動力學

    13.4  延遲鎖相環

    13.4.1  工作原理

    13.4.2  優點

    13.4.3  頻率響應

    13.4.4  局限性

    13.5  本章總結



    第14章  同步繫統的特性

    14.1  數據路徑延遲部件

    14.1.1  最小時鐘周期

    14.1.2  競爭狀態

    14.2  寄存器的建立保持時間

    14.3  建立保持時間的表征

    14.3.1  獨立型建立保持時間表征

    14.3.2  依賴型建立保持時間表征

    14.4  局部數據路徑示例

    14.5  時鐘偏差

    14.5.1  時鐘偏差定義

    14.6  時序約束

    14.6.1  長數據路徑的時序約束

    14.6.2  短數據路徑的時序約束

    14.7  增強同步性能

    14.7.1  局部負時鐘偏差示例

    14.8  本章總結



    第15章  片上時鐘分布

    15.1  時鐘分布設計

    15.1.1  緩衝樹形時鐘分布

    15.1.2  對稱H樹形時鐘分布網絡

    15.1.3  控制時鐘偏差的補償技術

    15.1.4  低功耗時鐘分布網絡設計

    15.2  自動布局與綜合

    15.2.1  時鐘分布的自動版圖生成

    15.2.2  自動時鐘分布綜合

    15.2.3  重定時

    15.3  分析與建模

    15.3.1  工藝不敏感的時鐘分布網絡

    15.3.2  時鐘偏差的估算模型

    15.4  時鐘偏差調度

    15.4.1  片外時鐘偏差

    15.4.2  全局和局部時序約束

    15.4.3  示例

    15.5  工業級時鐘分布網絡示例

    15.5.1  貝爾電話WE32100 32位微處理器

    15.5.2  DEC/Compaq 64位Alpha微處理器

    15.5.3  8位×8位流水乘法器

    15.5.4  Intel IA64微處理器

    15.6  本章小結



    第Ⅴ部分  襯底感知設計



    第16章  混合信號繫統中的襯底噪聲

    16.1  開關噪聲耦合機制

    16.1.1  互連耦合

    ……

    第17章降低襯底噪聲的技術

    總結和結語

    參考文獻

    在線試讀
    本書的主要目的是為了整合目前納米級集成電路(IC)主要關注的以互連為中心的設計方法。在過去的十五年, IC設計過程已經從以邏輯驅動為中心轉移到以互連為中心的範式。片上互連對繫統速度、 功耗、 可靠性和耐用性的影響越來越重要。盡管在這一領域有大量的研究, 然而據我們所知, 本書是第一本既專注於這種新技術, 同時也包括最新的發展、 未來趨勢和方向的圖書, 內容廣泛且兼具教程風格。
    大多數現有的集成電路書籍主要集中在以邏輯為中心的超大規模集成電路(VLSI)繫統的設計, 很少強調與互連相關的問題。現有資源的不足限制了可能想要超越傳統超大規模集成電路設計的理念或者想理解高性能納米級集成電路設計過程的學生、 研究人員或從業工程師。大量的研究論文和專著具有不同的可用性, 盡管對以互連為中心的設計的相關領域有幫助, 但還需要對一些主題進行深入了解。本書旨在填補這一空間, 同時, 通過對以互連為中心的設計方法的統一討論, 為更先進的研究和工程實踐打下基礎。
    在過去的三十年, 超大規模集成電路方面的教科書演變了三代。1980年, Mead和Conway發表了在超大規模集成電路設計領域仍然有影響力的第一本教科書\\[1\\]。這本書是第一本以繫統的方法來介紹超大規模集成電路設計的書籍, 其中通過(λ型)物理設計規則將IC制造工藝從設計過程中抽像出來, 重點強調簡化IC設計過程。這本書中提出了自頂向下的VLSI設計流程, 使得非專業人士可以通過對最少量的必要知識的了解來設計一個數字集成電路。這本書將IC設計流程介紹給計算機科學家, 促進了計算機輔助的電子自動化設計領域的出現。大學開始開設基於Mead和Conway範式的IC設計課程。第一代教程建立了IC設計流程和不同抽像層次的基礎。此時IC的復雜性相對較低, 晶體管的電流電壓關繫是基於Shockley方程建立的。
    第二代教程由Glasser、 Dobberpuhl\\[2\\]和Weste、 Eshraghian\\[3\\]在20世紀80年代中期發表的著作體現, 強調自上而下的方法, 重點關注將抽像層次下移。為實現日益復雜的IC, 超大規模集成電路的設計流程得到了增強。IC設計自動化方法學重點關注將硬件描述語言(如Verilog和VHDL)引入電路綜合。
    到了20世紀90年代中期和21世紀初, 出現了一些描述更復雜的集成電路設計流程的超大規模集成電路教科書。第三代超大規模集成電路的教科書討論了工藝縮放對設計流程的影響, 強調了主要子繫統, 如數據通路(加法器、 移位器和乘法器)、 內存和等的設計。
    前三代超大規模集成電路教科書的共同特點是以晶體管為中心, 這些教科書中描述的設計流程是由邏輯門主導的, 很少討論互連。而近期發表在2000年後期的教科書, 如Weste和Harris的書籍\\[4\\], 有幾個章節考慮了互連的某些方面, 但其重點仍然在晶體管。本書的主要目的是為了整合目前納米級集成電路(IC)主要關注的以互連為中心的設計方法。在過去的十五年, IC設計過程已經從以邏輯驅動為中心轉移到以互連為中心的範式。片上互連對繫統速度、 功耗、 可靠性和耐用性的影響越來越重要。盡管在這一領域有大量的研究, 然而據我們所知, 本書是第一本既專注於這種新技術, 同時也包括最新的發展、 未來趨勢和方向的圖書, 內容廣泛且兼具教程風格。

    大多數現有的集成電路書籍主要集中在以邏輯為中心的超大規模集成電路(VLSI)繫統的設計, 很少強調與互連相關的問題。現有資源的不足限制了可能想要超越傳統超大規模集成電路設計的理念或者想理解高性能納米級集成電路設計過程的學生、 研究人員或從業工程師。大量的研究論文和專著具有不同的可用性, 盡管對以互連為中心的設計的相關領域有幫助, 但還需要對一些主題進行深入了解。本書旨在填補這一空間, 同時, 通過對以互連為中心的設計方法的統一討論, 為更先進的研究和工程實踐打下基礎。

    在過去的三十年, 超大規模集成電路方面的教科書演變了三代。1980年, Mead和Conway發表了在超大規模集成電路設計領域仍然有影響力的第一本教科書\\[1\\]。這本書是第一本以繫統的方法來介紹超大規模集成電路設計的書籍, 其中通過(λ型)物理設計規則將IC制造工藝從設計過程中抽像出來, 重點強調簡化IC設計過程。這本書中提出了自頂向下的VLSI設計流程, 使得非專業人士可以通過對最少量的必要知識的了解來設計一個數字集成電路。這本書將IC設計流程介紹給計算機科學家, 促進了計算機輔助的電子自動化設計領域的出現。大學開始開設基於Mead和Conway範式的IC設計課程。第一代教程建立了IC設計流程和不同抽像層次的基礎。此時IC的復雜性相對較低, 晶體管的電流電壓關繫是基於Shockley方程建立的。

    第二代教程由Glasser、 Dobberpuhl\\[2\\]和Weste、 Eshraghian\\[3\\]在20世紀80年代中期發表的著作體現, 強調自上而下的方法, 重點關注將抽像層次下移。為實現日益復雜的IC, 超大規模集成電路的設計流程得到了增強。IC設計自動化方法學重點關注將硬件描述語言(如Verilog和VHDL)引入電路綜合。

    到了20世紀90年代中期和21世紀初, 出現了一些描述更復雜的集成電路設計流程的超大規模集成電路教科書。第三代超大規模集成電路的教科書討論了工藝縮放對設計流程的影響, 強調了主要子繫統, 如數據通路(加法器、 移位器和乘法器)、 內存和等的設計。

    前三代超大規模集成電路教科書的共同特點是以晶體管為中心, 這些教科書中描述的設計流程是由邏輯門主導的, 很少討論互連。而近期發表在2000年後期的教科書, 如Weste和Harris的書籍\\[4\\], 有幾個章節考慮了互連的某些方面, 但其重點仍然在晶體管。

    作者認為, 本書從主要關注邏輯門轉移到以互連為中心的設計方法, 代表了第四代超大規模集成電路教科書。第四代早期的第一本重要的書籍是由Bakoglu在1990年出版的\\[5\\]。這本前瞻性的書籍超前於時代, 考慮了片上互連對集成電路日益增加的重要性。相對於以前的書籍, 它提出了一種自下而上的設計方法。雖然Bakoglu的書曾作為以互連為中心的IC設計的一本有效的手冊, 但是距其出版發行已過了二十多年。因此, 正如本書所嘗試的, 非常有必要對Bakoglu的書進行全面的更新。第四代超大規模集成電路教科書重點關注在過去十五年中已經占據了IC設計流程主導地位的互連瓶頸問題和解決這個開創性問題的全局方案。

    本書的組織形式是基於自下而上的方法。首先在工藝縮放的概述中討論片上互連的主導作用。同時也討論了一些可以緩解與縮放相關重大挑戰的新興器件和技術。在本書的其餘部分, 考慮了三個高性能集成電路設計的主要問題: 數據信號、 電源管理和同步。由於混合信號集成電路和繫統級芯片的重要性日益增加, 並且襯底對全局互連的相互依賴關繫極大地影響IC的整體性能, 因此在本書的框架中同時也討論了感知襯底的設計。

    以互連為中心的設計方法在設計的每個主要方面都發揮著核心作用, 並作為本書的一個統一的主題。本書在提供所有問題共有的互連的一般特性後, 分別討論了每種互連的類型(數據、 電源、 時鐘和襯底)特有的具體設計約束和設計方法, 同時也突出了這些問題之間的相互關繫。為提供額外的電路解析, 本書還討論了專用電路的設計, 如用於數據傳輸的錐形緩衝器和中繼器, 用於電源管理的電壓調節器以及用於同步的鎖相環。本書還提供了一個拓展閱讀參考文獻, 供對本書所討論的眾多議題有興趣進行更深入了解的讀者參考。

    本書源於在紐約羅切斯特大學電氣與計算機工程繫由高年級本科生和一年級研究生參加的高性能IC設計的一個研究生課程。紐約石溪大學的電氣和計算機工程繫也正在提供類似的課程。該課程強調以互連為中心的IC設計, 現有的教科書主要集中在以邏輯驅動的設計, 不能提供合適的視角和覆蓋所需的材料。另外, 相關的研究專著通常集中在以互連為中心的設計環境中的特定子主題, 不提供教學的透視。因此, 作者們決定寫一本自包含的書, 將涵蓋這些課程的全部內容, 同時獲得強大的教學透視。

    本書面向四類人員:①想更深入了解高性能集成電路設計的高年級本科生和研究生; ②正在進入高性能集成電路設計領域並且需要具有足夠的廣度和深度的參考用書的研究人員; ③願意擴寬他們的電路知識以進行與高性能集成電路有關研究的相近領域的人員, 如計算機體繫結構和器件物理研究的人員; ④需要一本以互連為中心設計的通用參考書的在半導體行業工作的從業工程師。

    本書的總體目標是: 為高性能集成電路的物理設計和分析提供背景, 同時作為以互連為中心的電路設計的全面教程。關於這個關鍵設計問題的統一討論, 將有望成為學生、 研究人員以及在高性能集成電路領域工作的工程師的有價值的指導。


     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部