[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 數字電路設計與驗證快速入門——Verilog+SystemVerilog
    該商品所屬分類:計算機/網絡 -> 軟件工程
    【市場價】
    1092-1584
    【優惠價】
    683-990
    【作者】 馬驍 
    【所屬類別】 圖書  計算機/網絡  軟件工程/開發項目管理 
    【出版社】清華大學出版社 
    【ISBN】9787302635079
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302635079
    叢書名:計算機技術開發與應用叢書

    作者:馬驍
    出版社:清華大學出版社
    出版時間:2023年10月 


        
        
    "

    產品特色

    編輯推薦

    ●提供了豐富的實例和源代碼,在學習基礎語法的同時方便讀者上機實踐並加深理解
    ●提供了豐富的視頻教程,方便參照和進一步學習
    ●簡化了在實際工程項目中很少去使用的語法內容的介紹
    ●側重對重點內容的學習介紹,幫助讀者更快入門
    ●由易到難的循序漸進的參考實例,幫助讀者更好吸收和理解設計和驗證的大致過程

     
    內容簡介

    本書是面向數字芯片設計與驗證的入門書籍,是微電子相關專業的基礎課程。 本書以理論基礎為核心,以參考實例為主線,幫助讀者迅速建立數字芯片設計和驗證的概念和設計基礎,包括兩篇:數字電路及Verilog篇講解數字邏輯電路基礎,硬件描述語言Verilog的基礎語法,對應的實例分析,以及組合邏輯電路和時序邏輯電路的設計和驗證的參考實例;SystemVerilog篇講解包括兼顧設計和驗證的語言SystemVerilog的基礎語法,對應的實例分析,以及由簡單到相對復雜的運算器的設計和驗證的參考實例。 本書根據實際工程項目的經驗,做了精簡和重難點分析,並提供了豐富的實例和源代碼供學習參考,通俗易懂,並且易於上機實踐,提升學習效果,適合初學者入門,也可作為高等院校和培訓機構相關專業的教學參考書。

    作者簡介

    馬驍,東南大學集成電路專業碩士,已申請芯片驗證領域多個專利,網易雲課堂“芯片驗證:UVM理論與實戰”“芯片驗證:Python腳本理論與實戰”等課程的作者。

    目錄

    數字電路及Verilog篇
    SystemVerilog篇
    第6章SystemVerilog基礎(169min)
    6.1數據類型
    6.1.1基本類型
    6.1.2枚舉類型
    6.1.3字符串類型
    6.1.4數組和隊列類型
    6.1.5自定義類型
    6.1.6結構體和聯合體類型
    6.1.7常量
    6.1.8變量轉換
    6.2運算符


    數字電路及Verilog篇


    第1章引言(15min)


    1.1基礎概念


    1.1.1模擬信號和數字信號


    1.1.2計算機和芯片的組成關繫


    1.1.3芯片設計的流程


    1.1.4芯片設計的方向


    1.1.5學習數字電路、Verilog和SystemVerilog的必要性


    1.2設計與驗證的常識


    1.2.1設計與驗證的關繫


    1.2.2驗證方案要素


    1.2.3測試平臺組成


    1.2.4覆蓋率的分類


    1.3本章小結


    第2章數字邏輯電路基礎(12min)


    2.1數制及其表示


    2.1.1數制


    2.1.2有符號數


    2.2布爾代數


    2.3基本邏輯電路


    2.3.1與門電路


    2.3.2或門電路


    2.3.3非門電路


    2.3.4鎖存器


    2.3.5觸發器


    2.4邏輯電路結構


    2.4.1建立時間和保持時間


    2.4.2組合邏輯電路


    2.4.3時序邏輯電路


    2.5硬件描述的抽像級別


    2.6本章小結


     


     


    第3章Verilog基礎(85min)


    3.1數值表示


    3.2數據類型


    3.2.1變量


    3.2.2線網


    3.2.3參數


    3.2.4字符串


    3.3運算符


    3.3.1基本運算符


    3.3.2按位運算符


    3.3.3邏輯運算符


    3.3.4關繫運算符


    3.3.5移位運算符


    3.3.6拼接運算符


    3.3.7縮減運算符


    3.3.8三目運算符


    3.3.9復制運算符


    3.3.10位選擇運算符


    3.3.11運算符的優先級別


    3.4程序塊語句


    3.4.1順序執行程序塊


    3.4.2並行執行程序塊


    3.4.3混合執行程序塊


    3.5結構語句


    3.5.1initial語句


    3.5.2always語句


    3.6賦值語句


    3.6.1阻塞和非阻塞賦值語句


    3.6.2連線賦值語句


    3.6.3強制賦值語句


    3.7條件和循環語句


    3.7.1條件語句


    3.7.2循環語句


    3.7.3程序塊的自動生成


    3.8任務和函數


    3.8.1任務


    3.8.2函數


    3.9控制語句


    3.9.1終止程序語句


    3.9.2同步等待語句


    3.10繫統函數


    3.10.1$display和$write,$time和$realtime


    3.10.2$random


    3.10.3$finish


    3.10.4$readmemb和$readmemh


    3.10.5$fopen、$fclose、$fdisplay和$fwrite


    3.10.6$test$plusargs和$value$plusargs


    3.10.7$realtobits和$bitstoreal


    3.10.8$signed和$unsigned


    3.10.9$monitor


    3.11宏定義


    3.11.1仿真時間單位和精度


    3.11.2文件包含


    3.11.3全局參數


    3.11.4條件編譯


    3.12本章小結


    第4章組合邏輯電路實例(46min)


    4.1解碼器


    4.1.1真值表


    4.1.2卡諾圖及邏輯表達式


    4.1.3電路圖


    4.1.4Verilog實現


    4.1.5測試平臺


    4.1.6仿真驗證


    4.2加法器


    4.2.1真值表


    4.2.2卡諾圖及邏輯表達式


    4.2.3電路圖


    4.2.4Verilog實現


    4.2.5測試平臺


    4.2.6仿真驗證


    4.3存儲器


    4.3.1Verilog實現


    4.3.2測試平臺


    4.3.3仿真驗證


    4.4本章小結


    第5章時序邏輯電路實例(84min)


    5.1觸發器


    5.1.1Verilog實現


    5.1.2測試平臺


    5.1.3仿真驗證


    5.2移位寄存器


    5.2.1Verilog實現


    5.2.2測試平臺


    5.2.3仿真驗證


    5.3計數器


    5.3.1Verilog實現


    5.3.2測試平臺


    5.3.3仿真驗證


    5.4狀態機


    5.4.1過程分析


    5.4.2Verilog實現


    5.4.3測試平臺


    5.4.4仿真驗證


    5.5本章小結



    SystemVerilog篇


    第6章SystemVerilog基礎(169min)


    6.1數據類型


    6.1.1基本類型


    6.1.2枚舉類型


    6.1.3字符串類型


    6.1.4數組和隊列類型


    6.1.5自定義類型


    6.1.6結構體和聯合體類型


    6.1.7常量


    6.1.8變量轉換


    6.2運算符


    6.3任務和函數


    6.3.1Verilog與SystemVerilog的差異


    6.3.2支持ref端口類型


    6.4循環及其控制語句


    6.4.1循環語句


    6.4.2控制及結束語句


    6.5結構語句


    6.5.1final語句


    6.5.2always_comb和always_ff語句


    6.5.3末尾標簽


    6.6並行執行程序塊語句


    6.7控制語句


    6.7.1wait fork等待語句


    6.7.2iff條件控制語句


    6.7.3inside匹配語句


    6.7.4進程控制類


    6.8分支語句


    6.9面向對像編程


    6.9.1面向對像的概念


    6.9.2結構體和類


    6.9.3類的封裝


    6.9.4類的繼承


    6.9.5類的多態


    6.9.6類的模板


    6.9.7類的靜態和動態變量及方法


    6.9.8類的復制和克隆


    6.9.9類的參數化


    6.10接口


    6.10.1基本介紹


    6.10.2端口分組


    6.10.3時鐘塊


    6.10.4虛接口和接口方法


    6.11包


    6.12斷言


    6.12.1立即斷言


    6.12.2並發斷言


    6.13隨機化


    6.13.1類的隨機及約束


    6.13.2隨機種子


    6.13.3單向約束


    6.13.4雙向約束


    6.13.5權重分布


    6.13.6約束開關控制


    6.13.7隨機開關控制


    6.13.8隨機回調方法


    6.13.9檢查器


    6.13.10約束求解順序


    6.13.11權重分支


    6.13.12軟約束


    6.13.13隨機範圍


    6.14繫統函數


    6.14.1$isunknown


    6.14.2$urandom_range


    6.14.3$system


    6.14.4$bits


    6.14.5$typename


    6.14.6$left、$right、$size、$dimensions


    6.14.7$clog2


    6.14.8$sformatf


    6.14.9$fscanf


    6.14.10$root


    6.15宏函數


    6.16線程間的通信


    6.16.1旗語


    6.16.2郵箱


    6.16.3事件


    6.17覆蓋率收集


    6.17.1基本介紹


    6.17.2覆蓋組


    6.17.3設置覆蓋倉


    6.17.4設置采樣條件


    6.17.5參數化的覆蓋組


    6.17.6翻轉覆蓋率收集


    6.17.7覆蓋倉中的通配符


    6.17.8交叉覆蓋率


    6.17.9忽略和非法覆蓋倉


    6.17.10覆蓋率選項參數


    6.17.11覆蓋率方法接口


    6.18綁定輔助代碼


    6.18.1綁定到模塊


    6.18.2綁定到接口


    6.19與其他編程語言的通信


    6.19.1基本介紹


    6.19.2使用步驟


    6.20本章小結


    第7章參考實例


    7.1對運算器的設計和驗證


    7.1.1設計說明


    7.1.2設計實現


    7.1.3測試計劃


    7.1.4搭建測試平臺


    7.1.5仿真驗證


    7.1.6覆蓋率分析和提高


    7.2對寄存器控制的運算器的設計和驗證


    7.2.1設計說明


    7.2.2設計實現


    7.2.3測試計劃


    7.2.4搭建測試平臺


    7.2.5仿真驗證


    7.3對基於APB總線的運算器的設計和驗證


    7.3.1設計說明


    7.3.2設計實現


    7.3.3測試計劃


    7.3.4搭建測試平臺


    7.3.5仿真驗證


    7.4本章小結


    參考文獻


     

    前言

     



    前言
    行業發展


    芯片行業作為高科技設計和制造業,是人纔、資本齊聚集的行業,既是科技信息行業的基礎,也是大國博弈的必爭之地。然而,芯片行業的發展無法一蹴而就,需要長期投入和積累,需要一代一代的人去努力。近年來,我國的芯片行業正在快速發展,需要更多的人纔進入該行業,對於未來計劃從事數字芯片設計與驗證相關崗位的初學者來講,希望本書可以起到一定的幫助作用。


    本書內容


    本書分為兩篇共7章,數字電路及Verilog篇(第1~5章),SystemVerilog篇(第6章和第7章),兩篇都包括了基礎語法及對應的實例分析,並且上述內容根據實際工程項目的經驗,做了內容的精簡和重點、難點的分析和補充。


    第1章介紹數字芯片設計的基礎概念和常識,從而為學習後面的內容做鋪墊。


    第2章講述數字邏輯電路基礎,包括數制表示、門電路及分析、組合邏輯和時序邏輯電路。


    第3章講述Verilog硬件描述語言的基礎語法並提供了實例代碼以幫助讀者理解。


    第4章將第2章和第3章的內容串聯在一起,講述如何分析並使用Verilog硬件描述語言實現組合邏輯電路,並講述如何基於Verilog硬件描述語言搭建測試平臺,從而對組合邏輯電路設計做簡單的功能驗證。


    第5章將第2章和第3章的內容串聯在一起,講述如何分析並使用Verilog硬件描述語言實現時序邏輯電路,然後講述如何基於Verilog硬件描述語言搭建測試平臺,從而對時序邏輯電路設計做簡單的功能驗證。


    第6章講述SystemVerilog這種兼顧硬件設計和驗證的編程語言的基礎語法並提供實例代碼以幫助讀者理解。


    第7章以一個對初學者難度適中的運算器設計為例,講述整個設計和驗證的過程,從而將之前章節的內容都串聯起來,對讀者的學習效果進行鞏固提升。


    本書特色


    (1) 不同於以往數字電路和Verilog的相關書籍,本書在提供基礎語法的同時還提供了可以練習的案例和源代碼,並且通過實例講解,將設計和驗證的概念串聯在一起,使讀者學習起來更加有針對性,更有效率。


    (2) 本書側重描述實際工程中的語法使用,而不隻是簡單地介紹基礎的語法而脫離實際,因為事實上,有不少語法在實際工程中並不推薦去使用,因此在實際工程項目中幾乎不會用到的內容本書將不進行講解。


    (3) 市面上相對缺乏對於SystemVerilog這種兼顧硬件設計和驗證編程語言的圖書,本書旨在引導廣大讀者更輕松、更容易且更貼近實際工程項目地學習相關語法知識,並教給讀者如何去應用。


    讀者對像


    (1) 相關專業的在校大學生。


    (2) 相關領域的技術工程人員。


     


     


    學習建議


    (1) 本書內容由易到難,建議讀者按照章節順序進行學習,也可根據自身掌握情況適當跳過部分基礎章節的內容進行學習。


    (2)  本書語法基礎及實例章節都提供了代碼以供下載,建議讀者下載後導入推薦的仿真環境中進行仿真運行,從而加深理解,提升學習效果。


    (3) 本書作為數字電路設計和驗證的入門書籍,較為詳盡地講述了常用於硬件設計的Verilog硬件描述語言,兼顧硬件設計和驗證的SystemVerilog編程語言,並且提供了較為豐富的實例供讀者練手,但依然難以窮盡所有細節。讀者在閱讀本書後,應根據在實際工作中的項目,參考相關語法標準,進一步學習Verilog、SystemVerilog及涉及的UVM驗證方法學和腳本等內容。


    資源下載提示


    素材(源碼)等資源: 掃描目錄上方的二維碼下載。


    視頻等資源: 掃描封底的文泉雲盤防盜碼,再掃描書中相應章節的二維碼,可以在線學習。


    本書所有的代碼都在Synopsys VCS上經過了仿真驗證(仿真運行的腳本在各個章節代碼目錄下,名稱為run.do),並且提供了標注所在路徑位置的代碼供讀者下載,未標注所在路徑位置的代碼都為說明性代碼,相對比較簡單,因此不提供下載。


    仿真環境


    建議使用Synopsys VCS I2014.03以上版本運行本書提供的實例代碼。


    致謝


    寫書時可愛的女兒纔九個月,需要照顧和陪伴,而工作和寫作占用了我大部分的時間,感謝家人,尤其是妻子的理解和支持。


    本書一定還存在一些不足之處,懇請讀者給予批評指正。



    作者
    2023年6月


     


     


     

















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部