[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 非曼哈頓結構下超大規模集成電路布線理論與算法
    該商品所屬分類:計算機/網絡 -> 網絡與數據通信
    【市場價】
    1092-1584
    【優惠價】
    683-990
    【作者】 劉耿耿、黃興、郭文忠 
    【所屬類別】 圖書  計算機/網絡  網絡與數據通信  網絡配置與管理 
    【出版社】清華大學出版社 
    【ISBN】9787302599449
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302599449
    叢書名:集成電路科學與技術叢書

    作者:劉耿耿、黃興、郭文忠
    出版社:清華大學出版社
    出版時間:2022年04月 


        
        
    "

    產品特色

    編輯推薦

    本書介紹了超大規模集成電路相關定義、研究現狀,並提出了對未來研究方向的展望,側重於介紹非曼哈頓結構Steiner樹布線算法的構建;聚焦於當前超大規模集成電路物理設計中研究熱點之一的布線問題,針對非曼哈頓結構下布線算法研究不充分、布線問題更復雜的問題,展開了全面的分析與調研,設計了更為有效的布線算法,構造了高效的非曼哈頓結構下的布線器,對於理論學習與實踐研究有十分重要的價值。

     
    內容簡介

    本書繫統討論了非曼哈頓結構下超大規模集成電路布線設計的理論與算法,介紹了超大規模集成電路相關定義、研究現狀,並提出了對未來研究方向的展望,側重於介紹非曼哈頓結構Steiner樹布線算法的構建,其中布線樹考慮包括線長驅動、時延驅動、單層繞障、多層繞障、Slew約束等因素,設計了包括混合轉換、多階段轉換、預處理、局部處理、基於整數線性規劃和劃分等諸多有效策略,從而構造多種高效的非曼哈頓結構下的布線器。本書還介紹了應用於超大規模集成電路布線問題的群智能技術。期望的讀者是計算機行業電子設計自動化方面的本科生、研究生與工程師等相關研究人員。

    作者簡介

    劉耿耿,男,1988年生,福建南安人,副教授,博士生導師,旗山學者,CCF高級會員,中國計算機學會首屆集成電路設計專業組委員,中國計算機學會信息繫統專委會委員,福建省人工智能學會理事。主要從事計算智能及其應用、集成電路設計算法、機器學習等方面的研究。主持1項國家自然科學基金面上項目、1項國家自然科學基金青年項目等6個項目,並參與了5項國家自然科學基金科研項目,在《IEEE Transaction on Cybernetics》、《IEEE Transactions on Industrial Informatics》、《計算機學報》、《自動化學報》等國內外權威刊物和國際會議上發表70多篇學術論文,其中JCR一區18篇,JCR二區3篇,並申請發明專利41件,其中授權8件, 獲軟件著作權12項。

    目錄
    第1章緒論
    7.5.3調整
    7.5.4冗餘點移除
    7.5.5局部拓撲結構優化
    7.5.6實驗結果及分析
    7.6本章總結
    參考文獻
    第8章考慮Slew約束的X結構Steiner小樹算法
    8.1引言
    8.2相關工作
    8.3問題相關定義及模型
    8.3.1相關定義
    8.3.2Slew約束相關知識
    8.3.3問題模型

    第1章緒論


    1.1引言


    1.2布線過程


    1.2.1總體布線


    1.2.2詳細布線


    1.2.3軌道分配


    1.3布線樹及布線算法研究現狀


    1.3.1布線樹的構造算法


    1.3.2總體布線算法


    1.4研究展望


    1.4.1通孔柱工藝下的VLSI性能驅動層布線問題


    1.4.2多動態電壓芯片設計環境下的VLSI總體布線問題


    1.5本章總結


    參考文獻


    第2章電路布線問題中的群智能技術


    2.1引言


    2.2簡介


    2.3群智能技術


    2.3.1ACO算法


    2.3.2PSO算法


    2.3.3DE算法


    2.3.4ABC算法


    2.3.5FA算法


    2.4超大規模集成電路中的布線問題


    2.4.1Steiner樹


    2.4.2總體布線


    2.4.3詳細布線


    2.5使用群智能技術解決布線問題


    2.5.1ACO算法的應用


    2.5.2PSO算法的應用


    2.5.3DE算法的應用


    2.5.4ABC算法的應用


    2.5.5FA算法的應用


    2.6相關討論


    2.6.1基於X結構的多層布線


    2.6.2總體布線的多動態電壓設計


    2.6.3基於先進通孔柱技術的多層布線


    2.7未來研究


    2.7.1使用SI的先進技術模型的布線


    2.7.2探索新型可用的SI技術


    2.8本章總結


    參考文獻


     


     


    第3章X結構Steiner小樹算法


    3.1引言


    3.2基於離散PSO的X結構Steiner小樹算法


    3.2.1XSMT_PSO算法


    3.2.2實驗仿真與結果分析


    3.2.3小結


    3.3基於離散差分進化的X結構Steiner小樹算法


    3.3.1傳統差分進化算法


    3.3.2算法設計


    3.3.3算法仿真與實驗結果


    3.3.4小結


    3.4基於多策略優化離散差分進化的X結構Steiner小樹算法


    3.4.1算法設計


    3.4.2算法仿真與實驗結果


    3.4.3小結


    3.5基於文化基因的X結構Steiner小樹算法


    3.5.1MA_XMST算法


    3.5.2實驗仿真與結果分析


    3.5.3小結


    3.6線長驅動的X結構Steiner小樹算法


    3.6.1引言


    3.6.2算法設計


    3.6.3實驗仿真與結果分析


    3.6.4小結


    3.7本章總結


    參考文獻


    第4章時延驅動X結構Steiner小樹算法


    4.1引言


    4.2時延驅動X結構Steiner小樹算法概述


    4.2.1問題描述


    4.2.2算法設計


    4.2.3仿真實驗與結果分析


    4.2.4小結


    4.3彙延遲驅動的XSMT算法


    4.3.1問題描述


    4.3.2算法設計


    4.3.3仿真實驗與結果分析


    4.3.4小結


    4.4本章總結


    參考文獻


    第5章單層繞障X結構Steiner小樹算法


    5.1引言


    5.2基於離散粒子群優化的X結構繞障Steiner小樹算法


    5.2.1算法細節


    5.2.2考慮可制造性的後續操作


    5.2.3參數策略


    5.2.4實驗結果


    5.2.5小結


    5.3快速繞障X結構Steiner小樹算法


    5.3.1引言


    5.3.2算法框架


    5.3.3算法細節


    5.3.4實驗結果


    5.3.5小結


    5.4X結構繞障Steiner小樹四步啟發式算法


    5.4.1算法細節


    5.4.2復雜性分析


    5.4.3實驗結果


    5.4.4小結


    5.5本章總結


    參考文獻


    第6章多層繞障X結構Steiner小樹算法


    6.1引言


    6.2多層繞障X結構Steiner小樹快速啟發式算法


    6.2.1相關工作


    6.2.2問題模型


    6.2.3算法的設計


    6.2.4實驗結果


    6.3本章總結


    參考文獻


    第7章考慮布線資源松弛的X結構Steiner小樹算法


    7.1引言


    7.2相關工作


    7.2.1總體布線


    7.2.2Steiner樹


    7.2.3主要研究內容


    7.3相關理論知識


    7.3.1總體布線概述


    7.3.2多動態電壓設計模型


    7.3.3Steiner樹概述


    7.4問題模型


    7.5基於多階段優化的XSMTCRRR算法


    7.5.1初始拓撲的生成


    7.5.2預處理及布線樹的轉換



    7.5.3調整


    7.5.4冗餘點移除


    7.5.5局部拓撲結構優化


    7.5.6實驗結果及分析


    7.6本章總結


    參考文獻


    第8章考慮Slew約束的X結構Steiner小樹算法


    8.1引言


    8.2相關工作


    8.3問題相關定義及模型


    8.3.1相關定義 


    8.3.2Slew約束相關知識


    8.3.3問題模型


    8.4基於混合離散粒子群優化的Slew約束下X結構Steiner小樹


    算法


    8.4.1引腳對編碼方式與初始化


    8.4.2預處理策略


    8.4.3PSO搜尋


    8.4.4局部策略


    8.4.5混合修正策略


    8.4.6算法時間復雜度分析


    8.4.7實驗結果


    8.5本章總結


    參考文獻


    第9章X結構總體布線算法


    9.1引言


    9.2基於ILP和劃分策略的X結構總體布線算法


    9.2.1相關研究工作


    9.2.2基礎知識


    9.2.3ILP模型


    9.2.4XGRouter的詳細設計過程


    9.2.5實驗結果


    9.2.6小結


    9.3VLSI中高性能X結構多層總體布線器


    9.3.1加強策略


    9.3.2基於3種加強策略後布線器的新流程


    9.3.3算法的收斂分析


    9.3.4算法仿真與結果分析


    9.3.5小結


    9.4本章總結


    參考文獻

    前言
    過去幾十年來,超大規模集成電路(Very Large Scale Integration Circuit, VLSI)已經成為信息技術與信息產業的硬件核心,其發展水平的高低已成為衡量一個國家科學技術和工業發展水平的重要標志。SRC發布的“Physical Design CAD Top10 Needs”中指出了當前物理設計亟待解決的十大問題,其中布線問題首當其衝,在芯片尺寸和容量上,需要布線的電路芯片規模達到成千上萬的大模塊和幾百萬個小模塊,同時要求在合理可行的時間完成布線工作。此外,布線的質量嚴重影響了設計過程中的其他需求,包括定時和互連線分析。本書以布線問題為背景,分析了傳統布線互連結構——曼哈頓結構在物理設計階段的限制與缺陷,選擇以非曼哈頓結構為基礎模型進行布線,實現芯片整體性能的優化,並為在非曼哈頓結構和多層設計概念下變得更為復雜的布線問題尋求更為有效的布線算法。
    近年來,編者及其科研團隊一直致力於非曼哈頓結構下構建Steiner小樹的理論及應用研究,特別是使用算法的構建及其應用,並在此基礎上撰寫了此書。本書內容是作者基於自身所主持和參與的國家自然科學基金面上項目、國家自然科學基金青年項目等的研究成果,吸納了國內外許多具有代表性的研究成果,並融合了課題組近年來在國內外重要學術刊物和國際會議上發表的研究成果,力圖體現國內外在這一領域的研究進展。本書可作為計算機科學、自動化科學、人工智能等相關學科專業高年級本科生、研究生以及廣大研究計算智能的科技工作者的參考書。由於作者水平有限,書中難免有疏漏之處,對於本書的不足之處,懇請讀者批評指正。

    過去幾十年來,超大規模集成電路(Very Large Scale Integration Circuit, VLSI)已經成為信息技術與信息產業的硬件核心,其發展水平的高低已成為衡量一個國家科學技術和工業發展水平的重要標志。SRC發布的“Physical Design CAD Top10 Needs”中指出了當前物理設計亟待解決的十大問題,其中布線問題首當其衝,在芯片尺寸和容量上,需要布線的電路芯片規模達到成千上萬的大模塊和幾百萬個小模塊,同時要求在合理可行的時間完成布線工作。此外,布線的質量嚴重影響了設計過程中的其他需求,包括定時和互連線分析。本書以布線問題為背景,分析了傳統布線互連結構——曼哈頓結構在物理設計階段的限制與缺陷,選擇以非曼哈頓結構為基礎模型進行布線,實現芯片整體性能的優化,並為在非曼哈頓結構和多層設計概念下變得更為復雜的布線問題尋求更為有效的布線算法。
    近年來,編者及其科研團隊一直致力於非曼哈頓結構下構建Steiner小樹的理論及應用研究,特別是使用算法的構建及其應用,並在此基礎上撰寫了此書。本書內容是作者基於自身所主持和參與的國家自然科學基金面上項目、國家自然科學基金青年項目等的研究成果,吸納了國內外許多具有代表性的研究成果,並融合了課題組近年來在國內外重要學術刊物和國際會議上發表的研究成果,力圖體現國內外在這一領域的研究進展。本書可作為計算機科學、自動化科學、人工智能等相關學科專業高年級本科生、研究生以及廣大研究計算智能的科技工作者的參考書。由於作者水平有限,書中難免有疏漏之處,對於本書的不足之處,懇請讀者批評指正。
    全書由9章構成,內容自成體繫,各章內容具體安排如下: 第1章主要介紹了超大規模集成電路設計方法的重要性及其發展現狀,著重介紹了VLSI物理設計中總體布線與詳細布線的相關概念,提出了非曼哈頓結構下VLSI布線設計的未來研究方向; 第2章主要介紹了基於群智能技術在X結構、多動態電壓設計和通孔柱3種新模型下VLSI布線算法研究的探索; 第3章介紹了在總體布線中5種有效的X結構Steiner小樹算法; 第4章介紹了以時延為優化目標的時延驅動X結構Steiner小樹構建算法; 第5章介紹了3種有效的單層繞障X結構Steiner小樹算法; 第6章介紹了多層繞障的X結構Steiner小樹算法; 第7章介紹了一種高效的考慮布線資源松弛的X結構Steiner小樹算法; 第8章介紹了基於混合離散粒子群優化的Slew約束的X結構Steiner小樹算法; 第9章介紹了基於整數線性規劃模型和劃分策略的X結構總體布線算法。其中,第1章和第2章、第4章、第6~9章由劉耿耿完成,第3章由郭文忠完成,第5章由黃興完成。


     



    感謝清華大學出版社的大力支持和編輯的辛苦工作。同時,對課題組內參與有關研究工作的陳國龍教授、牛玉貞教授、陳志盛博士研究生以及莊震、陳曉華、湯浩、朱偉大、張星海、李榮榮、周茹平、魏凌、黃逸飛、楊禮亮、朱予涵等碩士研究生表示衷心感謝。後,感謝國家自然科學基金項目(61877010、11501114、U21A20472、11271002、11141005)、國家科技部重點研發計劃課題(2021YFB3600503)、福建省自然科學基金項目(2019J01243、2018J07005)、福建省科技創新平臺項目(2009J1007)和計算機體繫結構國家重點實驗室開放課題(CARCHB202014)等對相關研究工作的資助。
    編者
    2021年12月


    於福州大學福建省網絡計算與智能信息處理重點實驗室


     

















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部