[ 收藏 ] [ 繁体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • Intel FPGA/CPLD設計 高級篇
    該商品所屬分類:計算機/網絡 -> 硬件
    【市場價】
    651-944
    【優惠價】
    407-590
    【作者】 王江宏,蔡海寧,顏遠,王誠,吳繼華 
    【所屬類別】 圖書  計算機/網絡  硬件外部設備維修 
    【出版社】人民郵電出版社 
    【ISBN】9787115466785
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝

    是否套裝:否
    國際標準書號ISBN:9787115466785
    作者:王江宏,蔡海寧,顏遠,王誠,吳繼華

    出版社:人民郵電出版社
    出版時間:2017年09月 

        
        
    "

    編輯推薦
    Intel公司審校,Intel資-深FAE傾力打造,權-威的Intel器件類圖書
    Intel公司推薦FPGA/CPLD培訓教材
    深入討論Intel FPGA/CPLD設計和優化技巧
    掃碼下載所有實例的完整工程、源代碼和使用說明文件 
    內容簡介
    本書作者憑借多年工作經驗,深入地討論了Intel FPGA/CPLD的設計和優化技巧。在討論FPGA/CPLD設計指導原則的基礎上,介紹了Intel FPGA器件的高-級應用;引-領讀者學習邏輯鎖定設計工具,詳細討論了時序約束與靜態時序分析的方法;針對市場應用需求,分別介紹了SoC FPGA和OpenCL繫統應用技術;結合實例討論如何進行設計優化,介紹了Intel的可編程器件的高-級設計工具與繫統級設計技巧。
    本書所有實例的完整工程、源代碼和使用說明文件,都以雲存儲的方式存放在雲端,讀者可以通過掃描二維碼的方式進行下載。
    本書可作為高等院校通信工程、電子工程、計算機、微電子與半導體等專業的教材,也可作為硬件工程師和IC工程師的實用工具書。
    作者簡介
    王誠:西安電子科技大學/通信與電子信息繫統/碩士 高-級工程師 Lattice公司中國區總經理,工作經驗豐富研究領域:擴頻通信、CDMA通信、3G基帶設計、FPGA/數字ASIC設計、優化、驗證取得成果:兩項WCDMA實現技術專利發明人,在一級期刊上發表多篇CDMA技術論文,發表數篇國內外FPGA/ASIC設計技術研討會論文,編寫圖書《FPGA/CPLD設計工具──Xilinx ISE 使用詳解》、《Altera FPGA/CPLD設計(基礎篇)》、《Altera FPGA/CPLD設計(高-級篇)》 王江宏 西北工業大學 通信與信息繫統專業 碩士研究生 畢業後先後加入中興通訊 上海貝爾 Altera Intel工作 主要從事無線通信設備技術研發, FPGA+ARM技術支持。
    目錄
    第1章可編程邏輯設計指導原則1

    1.1可編程邏輯基本設計原則1

    1.1.1面積和速度的平衡與互換原則1

    1.1.2硬件原則11

    1.1.3繫統原則13

    1.1.4同步設計原則16

    1.2可編程邏輯常用設計思想與技巧19
    第1章可編程邏輯設計指導原則1


    1.1可編程邏輯基本設計原則1


    1.1.1面積和速度的平衡與互換原則1


    1.1.2硬件原則11


    1.1.3繫統原則13


    1.1.4同步設計原則16


    1.2可編程邏輯常用設計思想與技巧19


    1.2.1乒乓操作19


    1.2.2串並轉換21


    1.2.3流水線操作21


    1.2.4異步時鐘域數據同步22


    1.3Altera推薦的Coding Style26


    1.3.1Coding Style的含義27


    1.3.2結構層次化編碼(Hierarchical Coding)27


    1.3.3模塊劃分的技巧(Design Partitioning)28


    1.3.4組合邏輯的注意事項29


    1.3.5時鐘設計的注意事項32


    1.3.6全局異步復位資源38


    1.3.7判斷比較語句case和if...else的優先級39


    1.3.8使用Pipelining技術優化時序39


    1.3.9模塊復用與Resource Sharing39


    1.3.10邏輯復制41


    1.3.11香農擴展運算43


    1.3.12信號敏感表45


    1.3.13狀態機設計的一般原則46


    1.3.14Altera Megafunction資源的使用48


    1.3.15三態信號的設計48


    1.3.16加法樹的設計49


    1.4小結51


    1.5問題與思考52


    第2章Altera器件高級特性與應用53


    2.1時鐘管理53


    2.1.1時序問題53


    2.1.2鎖相環應用60


    2.2Arria10硬浮點數字信號處理模塊69


    2.2.1硬浮點DSP塊介紹69


    2.2.2Altera FPGA中浮點DSP實現的演進69


    2.2.3硬浮點DSP的優勢70


    2.2.4Xilinx Ultrascale DSP48E274


    2.3片外高速存儲器74


    2.3.1外部存儲接口方案的關鍵特性74


    2.3.2支持的存儲標準75


    2.3.3存儲接口寬度75


    2.3.4I/O管腳76


    2.3.5外部存儲接口IP支持類型76


    2.3.6Arria10外部存儲接口架構78


    2.4Hybrid Memory Cube83


    2.4.1存儲帶寬面臨的挑戰83


    2.4.2HMC的優勢84


    2.4.3Altera HMC交互操作平臺85


    2.4.4Altera HMC路標87


    2.4.5網絡繫統應用案例88


    2.5Altera JESD204B Megacore90


    2.5.1基本介紹90


    2.5.2功能描述94


    2.5.3Debug指導97


    2.6高速串行收發器100


    2.6.1Arria10 Transceiver概述100


    2.6.2Transceiver設計流程104


    2.6.3PLL和時鐘網絡107


    2.6.4復位Transceiver通道112


    2.6.5重配接口和動態重配115


    2.6.6校準118


    2.7小結119


    2.8問題與思考119


    第3章SoC FPGA嵌入式設計基礎120


    3.1SoC FPGA簡介120


    3.1.1SoC FPGA繫列器件組合120


    3.1.2SoC FPGA的工具和軟件124


    3.1.3SoC FPGA的生態繫統124


    3.2基於ARM Coretex A9 MPCore的硬件處理繫統126


    3.2.1硬核處理器繫統框圖與繫統集成127


    3.2.2Endian支持129


    3.2.3HPS-FPGA橋接129


    3.2.4HPS地址映射130


    3.3Qsys繫統集成工具131


    3.3.1Qsys簡介131


    3.3.2在Qsys中例化硬核處理器繫統組件132


    3.4SoC嵌入式設計套裝 (Embedded Design Suite)140


    3.4.1SoC EDS介紹140


    3.4.2Embedded Command Shell143


    3.4.3ARM DS-5 AE143


    3.4.4啟動工具使用指南144


    3.4.5硬件庫(Hardware Library)145


    3.4.6HPS Flash編程器146


    3.4.7裸金屬編譯器147


    3.4.8Linux軟件開發工具147


    3.5小結148


    3.6問題與思考148


    第4章時序約束與時序分析149


    4.1時序約束與時序分析基礎149


    4.1.1周期與頻率150


    4.1.2利用Quartus II工具分析設計152


    4.1.3時鐘建立時間155


    4.1.4時鐘保持時間156


    4.1.5時鐘輸出延時156


    4.1.6引腳到引腳的延遲157


    4.1.7Slack157


    4.1.8時鐘偏斜158


    4.1.9Quartus II 時序分析工具和優化向導158


    4.2設置時序約束的常用方法159


    4.2.1指定全局時序約束160


    4.2.2指定個別時鐘約束164


    4.3高級時序分析172


    4.3.1時鐘偏斜172


    4.3.2多時鐘域174


    4.3.3多周期約束174


    4.3.4偽路徑181


    4.3.5修正保持時間違例183


    4.3.6異步時鐘域時序分析184


    4.4小化時序分析185


    4.5使用Tcl工具進行高級時序分析186


    4.6TimeQuest簡介187


    4.7小結190


    4.8問題與思考190


    第5章設計優化191


    5.1解讀設計191


    5.1.1內部時鐘域192


    5.1.2多周期路徑和偽路徑193


    5.1.3I/O接口的時序要求194


    5.1.4平衡資源的使用194


    5.2設計優化的基本流程和首次編譯195


    5.2.1設計優化基本流程195


    5.2.2首次編譯的約束和設置196


    5.2.3查看編譯報告198


    5.3資源利用優化200


    5.3.1設計代碼優化201


    5.3.2資源重新分配201


    5.3.3解決互連資源緊張的問題203


    5.3.4邏輯綜合面積優化203


    5.3.5網表面積優化207


    5.3.6寄存器打包209


    5.3.7Quartus II中的資源優化顧問211


    5.4I/O時序優化211


    5.4.1執行時序驅動的編譯211


    5.4.2使用IOE中的觸發器212


    5.4.3可編程輸入/輸出延時215


    5.4.4使用鎖相環對時鐘移相217


    5.4.5其他I/O時序優化方法218


    5.5時鐘頻率優化219


    5.5.1設計代碼優化219


    5.5.2邏輯綜合速度優化225


    5.5.3布局布線器設置227


    5.5.4網表優化和物理綜合228


    5.5.5使用LogicLock對局部進行優化233


    5.5.6位置約束、手動布局和反標注234


    5.5.7Quartus II中的時序優化顧問235


    5.6使用DSE工具優化設計236


    5.6.1為什麼需要DSE236


    5.6.2什麼是DSE,如何使用236


    5.7如何減少編譯時間238


    5.8設計優化實例239


    5.9小結242


    5.10問題與思考243


    第6章Altera OpenCL開發套件和其他高級工具244


    6.1命令行與Tcl腳本244


    6.1.1命令行腳本245


    6.1.2Tcl腳本249


    6.1.3使用命令行和Tcl腳本253


    6.2DSP Builder工具254


    6.2.1DSP Builder設計流程254


    6.2.2與SOPC Builder一起構建繫統258


    6.3Altera OpenCL軟件開發套件259


    6.3.1OpenCL基本介紹259


    6.3.2OpenCL架構260


    6.3.3AOCL的安裝和應用264


    6.3.4AOCL FPGA編程267


    6.4小結272


    6.5問題與思考272


    第7章FPGA繫統級設計技術273


    7.1信號完整性及常用I/O電平標準273


    7.1.1信號完整性273


    7.1.2單端標準278


    7.1.3差分標準282


    7.1.4偽差分標準285


    7.1.5片上終端電阻285


    7.2電源完整性設計286


    7.2.1電源完整性286


    7.2.2同步翻轉噪聲287


    7.2.3非理想回路290


    7.2.4低阻抗電源分配繫統293


    7.3功耗分析和熱設計297


    7.3.1功耗的挑戰297


    7.3.2FPGA的功耗297


    7.3.3熱設計299


    7.4SERDES與高速繫統設計301


    7.4.1SERDES的基本概念302


    7.4.2Altera Stratix IV GX中SERDES的基本結構305


    7.4.3典型高速繫統應用框圖舉例311


    7.4.4高速PCB設計注意事項315


    7.5小結317


    7.6問題與思考318



     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部