[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 邏輯與計算機設計基礎(原書第5版)
    該商品所屬分類:研究生 -> 工學
    【市場價】
    1092-1584
    【優惠價】
    683-990
    【作者】 M莫裡斯·馬諾 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】機械工業出版社 
    【ISBN】9787111570103
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787111570103
    叢書名:計算機科學叢書

    作者:M.莫裡斯·馬諾
    出版社:機械工業出版社
    出版時間:2017年07月 


        
        
    "
    內容簡介
    本書以通用計算機為線索,由淺入深地講解了邏輯設計、數字繫統設計和計算機設計。其中,第1~4章為邏輯設計,包括數字繫統與信息、硬件描述語言和組合邏輯電路、組合邏輯設計以及時序電路;第5~7章為數字繫統設計,包括數字硬件實現技術、測試與驗證對設計成本的影響、寄存器與寄存器傳輸以及存儲器基礎;第8~12章為計算機設計,包括計算機設計基礎、指令集結構、RISC與CISC中央處理器、輸入輸出與通道,以及存儲繫統。書中附有60多個主要來自現代日常生活中產品設計的真實例子和問題,可以激發讀者的學習興趣。本書強調硬件描述語言在教學中的重要性,不僅可以作為計算機科學、計算機工程、電子技術、機電一體化等專業學生學習硬件的一本絕佳教材,也可以作為弱電類工程師和計算機科學工作者的理想參考書籍。
    作者簡介
    M. Morris Mano 加州大學洛杉磯分校 Charles R. Kime 威斯康星大學麥迪遜分校
    目錄
    目錄
    Logic and Computer Design Fundamentals
    出版者的話
    譯者序
    前言
    第1章數字繫統與信息1
    1.1信息表示2
    1.1.1數字計算機3
    1.1.2其他計算機4
    1.1.3通用計算機的進一步說明7
    1.2計算機繫統設計的抽像層次8
    1.3數制10
    1.3.1二進制11
    1.3.2八進制與十六進制12目錄
    Logic and Computer Design Fundamentals
    出版者的話
    譯者序
    前言
    第1章數字繫統與信息1
    1.1信息表示2
    1.1.1數字計算機3
    1.1.2其他計算機4
    1.1.3通用計算機的進一步說明7
    1.2計算機繫統設計的抽像層次8
    1.3數制10
    1.3.1二進制11
    1.3.2八進制與十六進制12
    1.3.3數字範圍13
    1.4算術運算14
    1.5十進制編碼17
    1.6字符編碼18
    1.6.1ASCII字符編碼18
    1.6.2校驗位21
    1.7格雷碼22
    1.8本章小結23
    參考文獻24
    習題24
    第2章組合邏輯電路27
    2.1二值邏輯和邏輯門27
    2.1.1二值邏輯28
    2.1.2邏輯門29
    2.1.3用硬件描述語言表示邏輯門32
    2.2布爾代數33
    2.2.1布爾代數的基本恆等式34
    2.2.2代數運算36
    2.2.3反函數38
    2.3標準形式39
    2.3.1小項和項39
    2.3.2積之和42
    2.3.3和之積43
    2.4兩級電路的優化43
    2.4.1成本標準44
    2.4.2卡諾圖結構45
    2.4.3二變量卡諾圖47
    2.4.4三變量卡諾圖48
    2.5卡諾圖的化簡50
    2.5.1質主蘊涵項50
    2.5.2非質主蘊涵項51
    2.5.3和之積優化52
    2.5.4無關小項53
    2.6異或操作和異或門55
    2.7門的傳播延遲56
    2.8硬件描述語言簡介58
    2.9硬件描述語言—VHDL60
    2.10硬件描述語言—Verilog67
    2.11本章小結72
    參考文獻72
    習題72
    第3章組合邏輯電路的設計79
    3.1開始分層設計79
    3.2工藝映射82
    3.3組合功能模塊85
    3.4基本邏輯函數85
    3.4.1定值、傳遞和取反85
    3.4.2多位函數86
    3.4.3使能87
    3.5譯碼89
    3.5.1譯碼器和使能結合92
    3.5.2基於譯碼器的組合電路95
    3.6編碼96
    3.6.1優先編碼器96
    3.6.2編碼器的擴展98
    3.7選擇98
    3.7.1多路復用器98
    3.7.2基於多路復用器的組合電路105
    3.8迭代組合電路109
    3.9二進制加法器110
    3.9.1半加器110
    3.9.2全加器110
    3.9.3二進制行波進位加法器111
    3.10二進制減法112
    3.10.1補碼114
    3.10.2采用補碼的二進制減法115
    3.11二進制加減法器115
    3.11.1有符號的二進制數116
    3.11.2有符號二進制數的加法與減法118
    3.11.3溢出119
    3.11.4加法器的HDL模型121
    3.11.5行為描述122
    3.12其他的算術功能模塊124
    3.12.1壓縮125
    3.12.2遞增126
    3.12.3遞減127
    3.12.4常數乘法127
    3.12.5常數除法127
    3.12.6零填充與符號擴展127
    3.13本章小結128
    參考文獻129
    習題129
    第4章時序電路138
    4.1時序電路的定義138
    4.2鎖存器140
    4.2.1SR和SR鎖存器140
    4.2.2D鎖存器143
    4.3觸發器143
    4.3.1邊沿觸發式觸發器144
    4.3.2標準圖形符號145
    4.3.3直接輸入147
    4.4時序電路分析148
    4.4.1輸入方程148
    4.4.2狀態表148
    4.4.3狀態圖150
    4.4.4時序電路模擬152
    4.5時序電路設計153
    4.5.1設計步驟154
    4.5.2構建狀態圖和狀態表154
    4.5.3狀態賦值160
    4.5.4使用D觸發器的設計161
    4.5.5無效狀態的設計162
    4.5.6驗證164
    4.6狀態機圖及其應用166
    4.6.1狀態機圖模型167
    4.6.2對輸入條件的約束168
    4.6.3使用狀態機圖的設計應用170
    4.7時序電路的HDL描述—VHDL177
    4.8時序電路的HDL描述—Verilog184
    4.9觸發器定時191
    4.10時序電路定時192
    4.11異步交互194
    4.12同步和亞穩態195
    4.13同步電路陷阱198
    本章小結199
    參考文獻200
    習題200
    第5章數字硬件實現210
    5.1設計空間210
    5.1.1集成電路210
    5.1.2CMOS電路工藝211
    5.1.3工藝參數213
    5.2可編程實現技術215
    5.2.1隻讀存儲器216
    5.2.2可編程邏輯陣列217
    5.2.3可編程陣列邏輯器件219
    5.2.4現場可編程門陣列221
    5.3本章小結224
    參考文獻224
    習題225
    第6章寄存器與寄存器傳輸227
    6.1寄存器與加載使能227
    6.2寄存器傳輸230
    6.3寄存器傳輸操作231
    6.4VHDL和Verilog中的寄存器傳輸233
    6.5微操作233
    6.5.1算術微操作234
    6.5.2邏輯微操作235
    6.5.3移位微操作236
    6.6對單個寄存器的微操作237
    6.6.1基於多路復用器的傳輸237
    6.6.2移位寄存器239
    6.6.3行波計數器242
    6.6.4同步二進制計數器244
    6.6.5其他類型計數器247
    6.7寄設計249
    6.8基於多路復用器和總線的多寄存器傳輸253
    6.8.1高阻態輸出254
    6.8.2三態總線255
    6.9串行傳輸及其微操作256
    6.10寄存器傳輸控制259
    6.11移位寄存器和計數器的HDL描述—VHDL272
    6.12移位寄存器和計數器的HDL描述—Verilog273
    6.13微程序控制275
    6.14本章小結276
    參考文獻276
    習題277
    第7章存儲器基礎283
    7.1存儲器定義283
    7.2隨機訪問存儲器283
    7.2.1讀寫操作284
    7.2.2定時波形285
    7.2.3存儲器特征286
    7.3SRAM集成電路287
    7.4SRAM芯片陣列292
    7.5DRAM芯片294
    7.5.1DR294
    7.5.2DRAM位片296
    7.6DRAM分類29
    前言
    前言Logic and Computer Design Fundamentals本書的目的是為廣大讀者提供學習邏輯設計、數字繫統設計和計算機設計的基礎知識。本書第5版突出了課程內容方面的發展。從1997年的第1版開始,作者就不斷對其進行修改,提供一種獨一無二的將邏輯設計與計算機設計原理結合在一起的方法,並特別強調硬件。過去幾年,教材一直緊跟行業的發展趨勢,新增加了一些內容(如硬件描述語言),刪除或者弱化了某些不太重要的內容,修改了某些內容以反映計算機技術和計算機輔助設計所發生的變化。
    新版的變化第5版反映了相關技術與設計實踐方面的一些變化,與過去相比,要求計算機繫統設計者在一個更高的層次上進行設計抽像並管理更大範圍的復雜性問題。在不同抽像層次進行邏輯、數字繫統和計算機設計所涉及的內容已經不能同日而語,本書新版的目的就是要在計算機繫統設計特別是在邏輯層次上更為有效地彌補現在的教學狀況與工業實現之間的鴻溝。同時,新版仍然保持著過去的章節組織,方便教師針對電氣與計算機工程和計算機科學專業的學生根據需要選講部分內容。新版的變化包括:
    第1章的更新包括對計算機繫統抽像層次的討論,以及它們的作用,還簡要介紹了數字設計的過程。為加強國際化,第1章還有一些關於字符編碼的新內容。
    本書在第2章就開始介紹硬件描述語言(HDL),比以前的版本更早。對於涉及組合和時序邏輯設計的章節,都會給出電路的HDL描述以及邏輯框圖與狀態圖,從而表明在當代數字繫統設計實踐中HDL變得日益重要。關於傳播延遲(數字繫統基本的一階設計約束)的內容已經移到了第2章。前言Logic and Computer Design Fundamentals本書的目的是為廣大讀者提供學習邏輯設計、數字繫統設計和計算機設計的基礎知識。本書第5版突出了課程內容方面的發展。從1997年的第1版開始,作者就不斷對其進行修改,提供一種獨一無二的將邏輯設計與計算機設計原理結合在一起的方法,並特別強調硬件。過去幾年,教材一直緊跟行業的發展趨勢,新增加了一些內容(如硬件描述語言),刪除或者弱化了某些不太重要的內容,修改了某些內容以反映計算機技術和計算機輔助設計所發生的變化。
    新版的變化第5版反映了相關技術與設計實踐方面的一些變化,與過去相比,要求計算機繫統設計者在一個更高的層次上進行設計抽像並管理更大範圍的復雜性問題。在不同抽像層次進行邏輯、數字繫統和計算機設計所涉及的內容已經不能同日而語,本書新版的目的就是要在計算機繫統設計特別是在邏輯層次上更為有效地彌補現在的教學狀況與工業實現之間的鴻溝。同時,新版仍然保持著過去的章節組織,方便教師針對電氣與計算機工程和計算機科學專業的學生根據需要選講部分內容。新版的變化包括:
    第1章的更新包括對計算機繫統抽像層次的討論,以及它們的作用,還簡要介紹了數字設計的過程。為加強國際化,第1章還有一些關於字符編碼的新內容。
    本書在第2章就開始介紹硬件描述語言(HDL),比以前的版本更早。對於涉及組合和時序邏輯設計的章節,都會給出電路的HDL描述以及邏輯框圖與狀態圖,從而表明在當代數字繫統設計實踐中HDL變得日益重要。關於傳播延遲(數字繫統基本的一階設計約束)的內容已經移到了第2章。
    第3章結合原來第3章中有關功能模塊的內容和原來第4章中有關算術電路模塊的內容,展現一組常見的組合邏輯功能模塊,這些功能模塊的HDL模型在本章隨處可見。第3章介紹分層設計的概念。
    時序電路出現在第4章。這一章包括原來第5章中對設計過程的描述和原來第6章中的時序電路定時、輸入同步和亞穩態的相關知識。有關JK觸發器和T觸發器的描述放到了教材的配套網站上。
    第5章討論一些與數字硬件實現相關的話題,包括互補金屬氧化物(CMOS)門和可編程邏輯的設計。除包含原來第6章中的大部分內容外,現在的第5章還簡要地介紹了測試與驗證對設計成本的影響。由於使用本教材的很多課程都用現場可編程門陣列(FPGA)來進行實驗練習,所以我們對FPGA的敘述進行了擴充,通過一個簡單的、基本的FPGA結構來講解許多商用FPGA繫列中都會出現的基本器件 。
    剩下的章節(包括計算機設計在內)已經進行了更新,以便反映從上一個版本以來出現的變化。重要的更新包括將高阻緩衝器從原來的第2章移動到6.8節中,以及在第9章增加了如何在高級語言中用過程調用和返回來實現函數調用的相關討論。
    除了提供完整的數字和計算機設計內容之外,第5版還特別強調現代設計的基本原理。從簡單的組合邏輯應用到在RISC核上構建CISC結構,多個例子的清晰解釋和漸進式的設計過程可以詮釋書中內容。完整的傳統內容包括計算機輔助設計、問題形式化、解決方案驗證,以及綜合能力培養,而靈活性則體現在可選的邏輯設計、數字繫統設計和計算機設計,以及硬件描述語言的相關內容中(不選、選用VHDL或選用Verilog)。
    經過這次修訂,本書第1~4章講解邏輯設計,第5~7章討論數字繫統設計,第8~12章重點介紹計算機設計。這樣的安排可以逐漸地、自底向上地完成各種函數設計,並將其應用到後續章節自頂向下的計算機設計中,為讀者提供牢固的數字繫統設計基礎。下面是各章相關內容的概括。
    邏輯設計第1章介紹數字計算機、計算機繫統抽像層次、嵌入式繫統,以及包括數制、算術運算和編碼在內的信息表示。
    第2章研究門電路和它們的類型,以及設計和成本優化的基本方法。概念包括布爾代數、代數優化和卡諾圖優化、傳播延遲,以及在VHDL和Verilog中使用結構和數據流模型表示的門級硬件描述語言模型。
    第3章從一個現代邏輯設計過程的概述開始,設計過程的詳細步驟包括問題形式化、邏輯優化、用與非門和或非門進行工藝映射,組合邏輯設計的實例中還包括驗證。另外,這一章還包括函數和構建組合設計模塊,包括使能和輸入定值、譯碼、編碼、代碼轉換、選擇、分配、加法、減法、遞增、遞減、填充、擴展和移位以及它們的實現。本章還包括許多邏輯模塊的VHDL和Verilog模型。
    第4章包括時序電路分析和設計。討論了鎖存器和邊沿觸發式觸發器,並著重講解了D觸發器。本章的重點是狀態機圖和狀態表的形式化表示。時序電路完整的設計過程包括規格說明、形式化、狀態分配、觸發器輸入和輸出方程確定、優化、工藝映射以及驗證。時序電路通常都太復雜,不能用傳統的狀態圖來表示,但可以用狀態機圖模型來表示,這一章通過現實世界的兩個例子來闡述和說明這一觀點。這一章包括用VHDL和Verilog來描述觸發器和時序電路,介紹了VHDL和Verilog程序行為的語言結構以及用於驗證的測試程序。本章後介紹了時序電路的


     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部