[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

     经部  史类  子部  集部  古籍管理  古籍工具书  四库全书  古籍善本影音本  中国藏书
  •  文化

     文化评述  文化随笔  文化理论  传统文化  世界各国文化  文化史  地域文化  神秘文化  文化研究  民俗文化  文化产业  民族文化  书的起源/书店  非物质文化遗产  文化事业  文化交流  比较文化学
  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

     执业资格考试用书  室内设计/装潢装修  标准/规范  建筑科学  建筑外观设计  建筑施工与监理  城乡规划/市政工程  园林景观/环境艺术  工程经济与管理  建筑史与建筑文化  建筑教材/教辅  英文原版书-建筑
  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

     园艺  植物保护  畜牧/狩猎/蚕/蜂  林业  动物医学  农作物  农学(农艺学)  水产/渔业  农业工程  农业基础科学  农林音像
  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

  •  保健/养生

  •  体育/运动

  •  手工/DIY

  •  休闲/爱好

  •  英文原版书

  •  港台图书

  •  研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学

  •  音乐
     音乐理论

     声乐  通俗音乐  音乐欣赏  钢琴  二胡  小提琴
  • 搭建你的數字積木——數字電路與邏輯設計(Verilog HDL&Viva
    該商品所屬分類:研究生 -> 工學
    【市場價】
    243-352
    【優惠價】
    152-220
    【作者】 湯勇明、張聖清、陸佳華 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】清華大學出版社 
    【ISBN】9787302466628
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:32開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302466628
    叢書名:高等學校電子信息類專業繫列教材

    作者:湯勇明、張聖清、陸佳華
    出版社:清華大學出版社
    出版時間:2017年06月 


        
        
    "
    編輯推薦
    本教材嚴格參照*高等學校電子電氣基礎課程教學指導分委員會制訂的《電子電氣基礎課程教學基本要求》編寫。全書注重激發學生的學習興趣,突出模塊化編程思想並詳細介紹了IP設計、封裝和調用方法;對於常用邏輯模塊及邏輯繫統案例的選取,采取由淺入深的方式,設計過程和例程盡量詳細,可以直接動手實驗。全書融合了東南大學電子科學與工程學院 “數字繫統”課程的豐富教學經驗,吸收了東南大學多年參與PLD競賽的經驗,並充分考慮產業界對電子信息類專業人纔培養的具體需求,是一本真正意義上產學深度合作的教材。教學資源:(1) 教學課件  配書教案(PPT)可到清華大學出版社網站本書頁面下載。(2) 設計平臺  采用科研和教學中應用*廣泛的Xilinx Artix-7器件和Vivado工具。(3) 程序代碼  配書源程序在Github開源,並保持更新。(4) 教學視頻  配書教學視頻及實驗操作視頻可到OpenHW網站獲取。 
    內容簡介
    本書繫統論述了數字電路與邏輯設計的理論、方法與實踐技術。全書基於Verilog HDL與Vivado開發環境,共18章,詳盡介紹了如下內容: 邏輯設計與Vivado基礎、布爾代數與Verilog HDL基礎、組合邏輯電路設計基礎、時序邏輯電路設計基礎、有限狀態機設計基礎、邏輯設計工程技術基礎、Vivado數字積木流程、串行通信接口控制器、RAM接口控制器、字符點陣顯示模塊接口控制器、VGA接口控制器、數字圖像采集、數字邏輯繫統設計案例、單周期CPU設計案例、數字信號處理設計案例(FIR)、數字圖像處理設計案例、大學生FPGA設計案例以及Xilinx資源導讀。
    為便於教師和廣大讀者學習與動手實踐,本書配套提供了教學課件、教學視頻及程序代碼等教學資源。
    本書適合作為普通高等院校電子信息類、電氣信息類、自動化類專業的本科生教材,也可作為相關專業研究生參考教材,並適合作為電子與電氣工程技術領域的科研工程技術人員的參考用書。
    作者簡介
    湯勇明  教授,東南大學電子科學與工程學院副院長,先後參與完成了國家重點基礎研究發展(973)計劃項目、國家高技術發展(863)計劃項目、國防科研項目、江蘇省成果轉化基金項目、國內外企業合作項目等大量科研項目與課題。參與“電子繫統設計”等多門課程的教學工作,所指導的本科生、研究生多次參加各類競賽並獲獎。主持和參與校教學改革項目多項,先後獲江蘇省教學成果獎一等獎和二等獎各1次,江蘇省科技進步一等獎1次。發表國際雜志、國際會議論文多篇,先後被SCI、EI、ISTP等收錄,出版著作1部,累計已獲授權國家發明專利超過10項。張聖清  博士,任教於東南大學信息科學與工程學院,負責“通信繫統”綜合課設計,“數字圖像處理”等多門FPGA設計技術相關課程,具有豐富的學生創新創業與競賽指導經驗,所指導的學生團隊曾多次奪得全國大學生電子設計競賽一等獎。陸佳華  Xilinx學術合作亞太區經理,於2006年加入Xilinx公司,主要負責Xilinx公司在亞太區學術圈的教學與科研合作。在可編程邏輯器件領域有超過10年的研發及市場經驗。曾參與了多個Xilinx技術應用指導項目的開發,同時也編著了全球本NetFPGA開發指導圖書以及本Zynq開發指導圖書。
    目錄
    目錄

    部分邏輯設計基礎

    第1章邏輯設計概述及Vivado基礎

    1.1邏輯設計概況

    1.2Verilog HDL語言基礎

    1.2.1硬件描述語言概述

    1.2.2Verilog HDL語言要素和設計流程

    目錄


     


    部分邏輯設計基礎


     


    第1章邏輯設計概述及Vivado基礎


     


    1.1邏輯設計概況


     


    1.2Verilog HDL語言基礎


     


    1.2.1硬件描述語言概述


     


    1.2.2Verilog HDL語言要素和設計流程


     


    1.3PLD器件基礎


     


    1.3.1可編程邏輯器件技術發展歷程


     


    1.3.2FPGACPLD簡介


     


    1.3.3Xilinx FPGA介紹


     


    1.3.4FPGA選型應該考慮的問題


     


    1.4Vivado開發環境及設計流程


     


    1.4.1Vivado功能介紹


     


    1.4.2Vivado用戶界面介紹和菜單操作


     


    1.4.3Vivado開發流程


     


    第2章布爾代數和Verilog HDL基礎


     


    2.1布爾代數


     


    2.1.1三種基本邏輯門


     


    2.1.2四種常用邏輯門


     


    2.2布爾定律


     


    2.2.1單變量布爾定律


     


    2.2.2雙變量和三變量的布爾定律


     


    2.3布爾代數化簡


     


    2.3.1公式法化簡


     


    2.3.2卡諾圖化簡


     


    2.4Verilog HDL語言基礎


     


    2.4.1Verilog HDL模塊及端口


     


    2.4.2Verilog HDL數據類型聲明


     


    2.4.3Verilog HDL運算操作


     


    第3章組合邏輯電路設計基礎


     


    3.1組合電路中的always


     


    3.1.1基本語法格式


     


    3.1.2過程賦值


     


    3.1.3變量的數據類型


     


    3.1.4簡單實例


     


    3.2條件語句


     


    3.2.1ifelse語句


     


    3.2.2case語句


     


    3.3循環語句


     


    3.3.1for語句


     


    3.3.2repeat語句


     


    3.3.3while語句


     


    3.3.4forever語句


     


    3.4always塊的一般編碼原則


     


    3.4.1組合電路代碼中常見的錯誤


     


    3.4.2組合電路中always塊的使用原則


     


    3.5常數和參數


     


    3.5.1常數


     


    3.5.2參數


     


    3.6設計實例


     


    3.6.1多路選擇器


     


    3.6.2比較器


     


    3.6.3譯碼器和編碼器


     


    3.6.4十六進制數七段LED顯示譯碼器


     


    3.6.5二進制—BCD碼轉換器


     


    3.7練習題


     


    第4章時序電路設計基礎


     


    4.1觸發器和鎖存器


     


    4.1.1基本D觸發器


     


    4.1.2含異步復位的D觸發器


     


    4.1.3含異步復位和同步使能的D觸發器


     


    4.1.4基本鎖存器


     


    4.1.5含清0控制的鎖存器


     


    4.2寄存器


     


    4.2.11位寄存器


     


    4.2.2N位寄存器


     


    4.2.3寄存器組


     


    4.3移位寄存器


     


    4.3.1具有同步預置功能的8位移位寄存器


     


    4.3.28位通用移位寄存器


     


    4.4計數器


     


    4.4.1簡單的二進制計數器


     


    4.4.2通用二進制計數器


     


    4.4.3m計數器


     


    4.5設計實例


     


    4.5.1數碼管掃描顯示電路


     


    4.5.2秒表


     


    4.6練習題


     


    第5章有限狀態機設計基礎


     


    5.1引言


     


    5.1.1有限狀態機的特點


     


    5.1.2Mealy狀態機和Moore狀態機


     


    5.1.3有限狀態機的表示方法


     


    5.2有限狀態機代碼實現


     


    5.3設計實例


     


    5.3.1序列檢測器設計


     


    5.3.2ADC采樣控制電路設計


     


    5.3.3按鍵消抖電路設計


     


    5.4課程練習


     


    第6章邏輯設計工程技術基礎


     


    6.1數字電路穩定性


     


    6.2組合邏輯與毛刺


     


    6.2.1組合邏輯設計中的毛刺現像


     


    6.2.2組合邏輯設計中毛刺的處理


     


    6.3異步設計與毛刺


     


    6.3.1異步時序電路中的毛刺現像


     


    6.3.2異步時序電路中毛刺的處理


     


    6.4Verilog HDL設計中的編程風格


     


    6.4.1強調代碼編寫風格的必要性


     


    6.4.2強調編寫規範的宗旨


     


    6.4.3變量及信號命名規範


     


    6.4.4編碼格式規範


     


    6.5Xilinx開發環境中的其他邏輯設計輔助工具


     


     


    第二部分常用邏輯設計模塊


     


    第7Vivado數字積木流程


     


    7.1IP基礎


     


    7.2打包屬於自己的IP


     


    7.3IP設計示例——二進制轉格雷碼


     


    7.4練習題


     


    第8章串行通信接口控制器


     


    8.1UART串口通信協議及控制器設計


     


    8.1.1UART協議介紹


     


    8.1.2UART協議實例


     


    8.2PS/2協議及實例設計


     


    8.2.1PS/2協議介紹


     


    8.2.2PS/2設計實例


     


    8.3SPI同步串行總線協議及控制器設計


     


    8.3.1SPI協議介紹


     


    8.3.2SPI控制器模塊實例


     


    8.4I2C兩線式串行總線協議及控制器設計


     


    8.4.1I2C協議介紹


     


    8.4.2I2C模塊設計實例


     


    8.5練習題


     


    第9RAM接口控制器


     


    9.1內部存儲器


     


    9.1.1FIFO


     


    9.1.2單端口RAM設計


     


    9.1.3雙端口RAM設計


     


    9.2外部存儲器


     


    9.2.1DRAM介紹


     


    9.2.2DDR SDRAM原理


     


    9.2.3DDR SDRAM控制器原理


     


    9.3練習題


     


    第10章字符點陣顯示模塊接口控制器


     


    10.1字符型液晶控制器設計


     


    10.1.1LCD原理


     


    10.1.2字符型LCD1602模塊


     


    10.1.3字符型液晶模塊顯示實例


     


    10.2點陣OLED控制器設計


     


    10.2.1OLED原理


     


    10.2.2OLED驅動原理


     


    10.2.3OLED顯示實例


     


    10.3練習題


     


    第11VGA接口控制器


     


    11.1CRT顯示器原理


     


    11.2VGA控制器設計


     


    11.2.1VGA視頻接口的概念


     


    11.2.2VGA的接口信號


     


    11.2.3行同步和場同步


     


    11.3VGA接口設計實例


     


    11.3.1VGA顯示條紋和棋盤格圖像


     


    11.3.2VGA圖像顯示實例(文字/圖片顯示或者數碼相框)


     


    11.3.3VGA IP的使用


     


    11.4練習題


     


    第12章數字圖像采集


     


    12.1數字圖像采集概述


     


    12.2繫統設計原理


     


    12.2.1繫統架構


     


    12.2.2OV7725芯片介紹


     


    12.2.3OV7725 SCCB協議


     


    12.2.4OV7725配置寄存器


     


    12.2.5OV7725圖像采集


     


    12.2.6Block RAM


     


    12.2.7VGA顯示的實現


     


    12.3模塊搭建與綜合實現


     


    12.4繫統調試及板級驗證


     


    12.4.1引腳分配


     


    12.4.2模塊連接


     


    12.5練習題


     


    第三部分邏輯繫統設計案例


     


    第13章數字邏輯繫統設計案例: 數字鐘


     


    13.1數字鐘設計案例


     


    13.1.1實驗原理


     


    13.1.2實驗設計流程


     


    13.2基於集成邏輯分析儀的調試


     


    13.3約束設計


     


    13.3.1物理約束


     


    13.3.2時序約束


     


    13.4練習題


     


    第14章單周期處理器設計實例


     


    14.1單周期處理器體繫架構簡介


     


    14.1.1單周期處理器指令集簡介


     


    14.1.2單周期處理器繫統結構


     


    14.2設計流程


     


    14.2.1實驗原理


     


    14.2.2設計與驗證


     


    第15章數字信號處理實例: FIR濾波器


     


    15.1FIR濾波器簡介


     


    15.2基於HLSFIR濾波器實現流程


     


    15.3工程測試


     


    15.4生成IP


     


    15.5練習題


     


    第16章數字圖像處理設計案例


     


    16.1項目概述


     


    16.2硬件介紹


     


    16.3模塊介紹


     


    16.3.1RGBHSV模塊


     


    16.3.2Color Detect色彩檢測及坐標計算


     


    16.4舵機控制模塊


     


    16.5實例實現過程


     


    16.6板級驗證


     


    16.7練習題


     


    第17章大學生FPGA設計案例


     


    17.1邏輯控制


     


    17.2圖像處理


     


    17.2.1VGA控制顏色


     


    17.2.2視力表


     


    17.2.3手部運動檢測繫統


     


    17.3儀表儀器


     


    17.3.1數字示波器


     


    17.3.2邏輯分析儀


     


    17.3.3波形發生器


     


    17.4其他


     


    第18Xilinx資源導讀


     


    18.1獲取本書參考例程


     


    18.1.1Github介紹及使用


     


    18.1.2OpenHW介紹


     


    18.1.3Xilinx各類比賽


     


    18.2Xilinx網站


     


    18.2.1FPGA應用與解決方案


     


    18.2.2文檔資料查找


     


    18.2.3Vivado工具和License的下載以及更新


     


    18.2.4問題的查找


     


    18.2.5Xilinx社區


     


    18.3視頻教程


     


    18.4Vivado學習參考文檔


     


    參考文獻

    前言
    前言這是一本正規教材嗎?看書名有點像兒童讀物。這是一本設計開發手冊嗎?這裡面怎麼還有思考習題啊。其實,編者們也為這本書的名字費了不少神,這是本書的個書名建議,之後也揣摩了好幾個,但到了後還是覺得這次取的名字好,因為它符合編者們當下對基於FPGA芯片的邏輯繫統設計的核心理念。如果說對於國內的高校和企業來講,20世紀90年代,PLD還是新興技術,僅在高端產品和產品設計初期有所應用外,如今PLD產品已經成為業內絕大多數的邏輯繫統設計的核心,也是大多數工程師的基本設計能力。這些年來,邏輯繫統設計和FPGA編程類的新教材不斷湧現。應該說,這些方面國內並不缺乏好的專業教材或工具書,但是作為編者的這幾個人在分別經歷多年相關課程教學、工程師培訓、新技術推廣等不同的工作後,總覺得教材可以編寫得更像工具書一點,工具書可以再多點基礎知識介紹。於是,幾個人就湊在了一起,相互鼓勵、相互督促做了一件他們想做但其實又不願意做的事: 編寫一本教材。以下是本書的編者們圍繞邏輯繫統設計和FPGA編程學習的幾點認識,也是編寫這本書的一些粗淺想法: (1) 傳統邏輯設計教學的內容和體繫與當前行業的需求和實際產生了偏差隨著可編程邏輯器件(programmable logic device,PLD,包括現在的CPLD和FPGA)為主的新技術及其行業的快速發展,直接改變了基於數字繫統核心的消費電子產品、工業繫統、醫療儀器設備乃至專用邏輯芯片本身的設計,重點表現在邏輯繫統的門電路規模門檻快速提升和設計方法的巨大變革。相比之下,成熟的傳統邏輯設計教學體繫與行業界的實際產生了偏差,例如: 在傳統邏輯設計教學中常用的真值表和卡諾圖等在實際行業設計中難覓蹤影; 傳統邏輯設計實驗教學中常用的74繫列或4000繫列中芯片采購困難且價格高,使得教學實驗項目難以為繼; 傳統邏輯設計中當作理論講解的競爭與冒險變成邏輯設計工程師時時刻刻面對的實際問題; 實際工程應用中急需的模塊化設計理念和團隊合作能力在傳統邏輯教學中基本缺失。(2) 邏輯設計教學從傳統的基礎理論課程更多轉向為實踐類課程傳統的邏輯設計或數字電路課程都是各大電子信息專業的基礎核心課程,在布爾代數基本理論基礎上重點講解組合邏輯繫統的分析和設計、時序邏輯繫統的分析和設計,再補充一些計算機結構中的作為其應用案例。這些內容也積累了大量考試題庫,但大量題庫都是限於四個邏輯變量及以下、JK觸發器容易命題但實際遠不如D觸發器實用、競爭冒險作為理論概念難以在習題中體現、狀態機是綜合類應用內容且入選習題的工作狀態數不宜過多等,與此對應的實際情況是基於FPGA開展實際邏輯繫統設計,幾十萬門的邏輯繫統需求很平常,狀態機已經成為大多數邏輯繫統設計的,產品設計不斷追求高性能使得毛刺問題在每一個設計中均需要認真處理等。因此,很多理論分析工作在當前設計中不再適用,大量設計能力需要通過不斷實踐經驗積累。(3) 邏輯設計門檻的降低和邏輯繫統復雜度的提升對模塊化設計提出更高要求FPGA設計培訓並不困難,越來越多的工程師通過自學就掌握了FPGA設計的基本能力,但隨著FPGA芯片規模的快速提升和芯片價格的持續下降,大量復雜邏輯繫統均已在單芯片內實現,且產品設計的時間周期越來越短,大量的邏輯繫統設計都需要工程師團隊分工合作完成,同時大量基本和功能模塊會重復利用,因此,模塊化編程思想和設計團隊的標準邏輯模塊設計積累都十分重要。把產品設計比作搭積木,誰的邏輯模塊積木多以及誰的專有邏輯模塊積木多會左右一個產品的市場成敗。基於上述理念,本書希望建設成能滿足目前從邏輯設計入門到具備基本邏輯設計工程師能力的學習道路上的教材或參考書。在組織規劃過程中貫穿了以下幾點思路: (1) 以目前主流且實用的FPGA和Verilog HDL為基礎更新邏輯設計理論基礎教學主線; (2) 將Vivado集成設計開發環境在第1章中就呈現給讀者,讓讀者從一開始就能利用該開發工具學習具體邏輯設計; (3) 淡化以往卡諾圖、真值表這類效率低且目前實用性不強的設計方法,強化基於硬件表述語言的硬件編程設計思想,區分軟件編程常規的指令語句單步運行思維模式; (4) 突出模塊化編程思想並詳細介紹IP設計封裝和調用辦法; (5) 常用邏輯模塊及邏輯繫統案例選取由淺入深,設計過程和例程盡量詳細,替代一般實驗指導書。綜上,本書具體分成三大部分: 部分邏輯設計基礎(共六章); 第二部分常用邏輯設計模塊(共五章); 第三部分邏輯繫統設計案例(共六章)。將常用邏輯模塊突顯出來,主要是希望更多反映模塊化編程思想和邏輯繫統設計團隊分工合作的趨勢。本書的編輯整理工作得到東南大學教務處的立項支持,並在Xilinx大學計劃的支持下進行,相關章節內容邀請了Xilinx大學計劃的應用工程師團哲恆、實習生崔宏宇,以及東南大學電子科學與工程學院電路與繫統方向的研究生參與整理,在此一並感謝。本書編輯整理均在編者的日常教學和大學計劃工作之餘進行,並分工合作完成,繫統性和文字風格一致性可能會有所差異,並難免一些錯漏,有待讀者不斷指出並修改。編者們也會持續補充設計案例並整理教學應用相關的教學資料,也希望大家能不斷反饋相關意見,使本書能得到良好的修編,改進目標和方向。編著者2017年3月前言
    這是一本正規教材嗎?看書名有點像兒童讀物。這是一本設計開發手冊嗎?這裡面怎麼還有思考習題啊。其實,編者們也為這本書的名字費了不少神,這是本書的個書名建議,之後也揣摩了好幾個,但到了後還是覺得這次取的名字好,因為它符合編者們當下對基於FPGA芯片的邏輯繫統設計的核心理念。如果說對於國內的高校和企業來講,20世紀90年代,PLD還是新興技術,僅在高端產品和產品設計初期有所應用外,如今PLD產品已經成為業內絕大多數的邏輯繫統設計的核心,也是大多數工程師的基本設計能力。這些年來,邏輯繫統設計和FPGA編程類的新教材不斷湧現。應該說,這些方面國內並不缺乏好的專業教材或工具書,但是作為編者的這幾個人在分別經歷多年相關課程教學、工程師培訓、新技術推廣等不同的工作後,總覺得教材可以編寫得更像工具書一點,工具書可以再多點基礎知識介紹。於是,幾個人就湊在了一起,相互鼓勵、相互督促做了一件他們想做但其實又不願意做的事: 編寫一本教材。以下是本書的編者們圍繞邏輯繫統設計和FPGA編程學習的幾點認識,也是編寫這本書的一些粗淺想法: (1) 傳統邏輯設計教學的內容和體繫與當前行業的需求和實際產生了偏差隨著可編程邏輯器件(programmable logic device,PLD,包括現在的CPLD和FPGA)為主的新技術及其行業的快速發展,直接改變了基於數字繫統核心的消費電子產品、工業繫統、醫療儀器設備乃至專用邏輯芯片本身的設計,重點表現在邏輯繫統的門電路規模門檻快速提升和設計方法的巨大變革。相比之下,成熟的傳統邏輯設計教學體繫與行業界的實際產生了偏差,例如: 在傳統邏輯設計教學中常用的真值表和卡諾圖等在實際行業設計中難覓蹤影; 傳統邏輯設計實驗教學中常用的74繫列或4000繫列中芯片采購困難且價格高,使得教學實驗項目難以為繼; 傳統邏輯設計中當作理論講解的競爭與冒險變成邏輯設計工程師時時刻刻面對的實際問題; 實際工程應用中急需的模塊化設計理念和團隊合作能力在傳統邏輯教學中基本缺失。(2) 邏輯設計教學從傳統的基礎理論課程更多轉向為實踐類課程傳統的邏輯設計或數字電路課程都是各大電子信息專業的基礎核心課程,在布爾代數基本理論基礎上重點講解組合邏輯繫統的分析和設計、時序邏輯繫統的分析和設計,再補充一些計算機結構中的作為其應用案例。這些內容也積累了大量考試題庫,但大量題庫都是限於四個邏輯變量及以下、JK觸發器容易命題但實際遠不如D觸發器實用、競爭冒險作為理論概念難以在習題中體現、狀態機是綜合類應用內容且入選習題的工作狀態數不宜過多等,與此對應的實際情況是基於FPGA開展實際邏輯繫統設計,幾十萬門的邏輯繫統需求很平常,狀態機已經成為大多數邏輯繫統設計的,產品設計不斷追求高性能使得毛刺問題在每一個設計中均需要認真處理等。因此,很多理論分析工作在當前設計中不再適用,大量設計能力需要通過不斷實踐經驗積累。(3) 邏輯設計門檻的降低和邏輯繫統復雜度的提升對模塊化設計提出更高要求FPGA設計培訓並不困難,越來越多的工程師通過自學就掌握了FPGA設計的基本能力,但隨著FPGA芯片規模的快速提升和芯片價格的持續下降,大量復雜邏輯繫統均已在單芯片內實現,且產品設計的時間周期越來越短,大量的邏輯繫統設計都需要工程師團隊分工合作完成,同時大量基本和功能模塊會重復利用,因此,模塊化編程思想和設計團隊的標準邏輯模塊設計積累都十分重要。把產品設計比作搭積木,誰的邏輯模塊積木多以及誰的專有邏輯模塊積木多會左右一個產品的市場成敗。基於上述理念,本書希望建設成能滿足目前從邏輯設計入門到具備基本邏輯設計工程師能力的學習道路上的教材或參考書。在組織規劃過程中貫穿了以下幾點思路: (1) 以目前主流且實用的FPGA和Verilog HDL為基礎更新邏輯設計理論基礎教學主線; (2) 將Vivado集成設計開發環境在第1章中就呈現給讀者,讓讀者從一開始就能利用該開發工具學習具體邏輯設計; (3) 淡化以往卡諾圖、真值表這類效率低且目前實用性不強的設計方法,強化基於硬件表述語言的硬件編程設計思想,區分軟件編程常規的指令語句單步運行思維模式; (4) 突出模塊化編程思想並詳細介紹IP設計封裝和調用辦法; (5) 常用邏輯模塊及邏輯繫統案例選取由淺入深,設計過程和例程盡量詳細,替代一般實驗指導書。綜上,本書具體分成三大部分: 部分邏輯設計基礎(共六章); 第二部分常用邏輯設計模塊(共五章); 第三部分邏輯繫統設計案例(共六章)。將常用邏輯模塊突顯出來,主要是希望更多反映模塊化編程思想和邏輯繫統設計團隊分工合作的趨勢。本書的編輯整理工作得到東南大學教務處的立項支持,並在Xilinx大學計劃的支持下進行,相關章節內容邀請了Xilinx大學計劃的應用工程師團哲恆、實習生崔宏宇,以及東南大學電子科學與工程學院電路與繫統方向的研究生參與整理,在此一並感謝。本書編輯整理均在編者的日常教學和大學計劃工作之餘進行,並分工合作完成,繫統性和文字風格一致性可能會有所差異,並難免一些錯漏,有待讀者不斷指出並修改。編者們也會持續補充設計案例並整理教學應用相關的教學資料,也希望大家能不斷反饋相關意見,使本書能得到良好的修編,改進目標和方向。編著者2017年3月









    媒體評論
    評論
    在線試讀
    第3章CHAPTER 3

    組合邏輯電路設計基礎

    本章學習導言組合邏輯電路在任一時刻的輸出狀態隻取決於該時刻的輸入狀態的組合,而與電路以前的狀態無關。即電路隻是由門電路組成,沒有,也沒有反饋電路。第2章介紹的簡單邏輯運算符可用於描述基本構成的門級設計,實際已經是基本的組合邏輯電路設計內容。本章主要介紹由中等規模組件構成組合邏輯電路的HDL描述,例如加法器、比較器和多路復用器等。本章首先結合實例對Verilog HDL行為描述的常用語法進行介紹,包括always塊、if語句、case語句、參數和常數等,並通過一些常見組合邏輯電路實例來展示常用組合電路設計。本章的目的是給出組合邏輯電路基本概念,在介紹Verilog HDL用於組合邏輯設計的條件語句和循環語句等基本要素後,分別給出比較器、多路選擇器等常見組合邏輯的介紹及其Verilog HDL實現。這些常用組合邏輯在邏輯繫統中出現頻率高,算得上搭建邏輯繫統的基本積木,熟練掌握對於復雜邏輯繫統設計以及邏輯繫統設計優化均有重要作用。
    3.1組合電路中的always塊在進行較為復雜的邏輯電路設計時,為了提高設計效率,通常采用較為抽像的行為描述,Verilog HDL使用一些順序執行的過程語句來進行行為描述。這些語句封裝在一個always塊或initial塊中,initial塊僅在仿真開始的時候執行一次,而always塊能夠進行綜合,生成能夠執行邏輯運算或控制的電路模塊。在本部分中重點討論always塊。always塊可以看成一個包含內部過程描述語句的黑盒子,過程語句包含多種結構,但是很多都沒有對應的硬件,編碼不佳的always塊通常會導致不必要的復雜實施或者根本無法綜合。本部分主要關注可綜合的組合邏輯電路設計,討論內容限制為三種類型的語句: 塊程序賦值、條件語句和循環語句。3.1.1基本語法格式帶敏感信號列表的always塊的簡化使用格式如下:第3章CHAPTER 3

    組合邏輯電路設計基礎





    本章學習導言組合邏輯電路在任一時刻的輸出狀態隻取決於該時刻的輸入狀態的組合,而與電路以前的狀態無關。即電路隻是由門電路組成,沒有,也沒有反饋電路。第2章介紹的簡單邏輯運算符可用於描述基本構成的門級設計,實際已經是基本的組合邏輯電路設計內容。本章主要介紹由中等規模組件構成組合邏輯電路的HDL描述,例如加法器、比較器和多路復用器等。本章首先結合實例對Verilog HDL行為描述的常用語法進行介紹,包括always塊、if語句、case語句、參數和常數等,並通過一些常見組合邏輯電路實例來展示常用組合電路設計。本章的目的是給出組合邏輯電路基本概念,在介紹Verilog HDL用於組合邏輯設計的條件語句和循環語句等基本要素後,分別給出比較器、多路選擇器等常見組合邏輯的介紹及其Verilog HDL實現。這些常用組合邏輯在邏輯繫統中出現頻率高,算得上搭建邏輯繫統的基本積木,熟練掌握對於復雜邏輯繫統設計以及邏輯繫統設計優化均有重要作用。
    3.1組合電路中的always塊在進行較為復雜的邏輯電路設計時,為了提高設
     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部