[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

     经部  史类  子部  集部  古籍管理  古籍工具书  四库全书  古籍善本影音本  中国藏书
  •  文化

     文化评述  文化随笔  文化理论  传统文化  世界各国文化  文化史  地域文化  神秘文化  文化研究  民俗文化  文化产业  民族文化  书的起源/书店  非物质文化遗产  文化事业  文化交流  比较文化学
  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

     执业资格考试用书  室内设计/装潢装修  标准/规范  建筑科学  建筑外观设计  建筑施工与监理  城乡规划/市政工程  园林景观/环境艺术  工程经济与管理  建筑史与建筑文化  建筑教材/教辅  英文原版书-建筑
  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

     园艺  植物保护  畜牧/狩猎/蚕/蜂  林业  动物医学  农作物  农学(农艺学)  水产/渔业  农业工程  农业基础科学  农林音像
  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

  •  保健/养生

  •  体育/运动

  •  手工/DIY

  •  休闲/爱好

  •  英文原版书

  •  港台图书

  •  研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学

  •  音乐
     音乐理论

     声乐  通俗音乐  音乐欣赏  钢琴  二胡  小提琴
  • 現代EDA技術及其應用——基於Intel FPGA&Verilog HDL的描述
    該商品所屬分類:研究生 -> 工學
    【市場價】
    366-531
    【優惠價】
    229-332
    【作者】 張俊濤、陳曉莉 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】清華大學出版社 
    【ISBN】9787302611295
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302611295
    叢書名:高等學校電子信息類專業繫列教材·新形態教材

    作者:張俊濤、陳曉莉
    出版社:清華大學出版社
    出版時間:2022年09月 


        
        
    "
    產品特色

    編輯推薦

    本教材嚴格參照教育bu《普通高等學校本科專業目錄》(2020年)、教育bu高等學校電子電氣基礎課程教學指導分委員會《電子電氣基礎課程教學基本要求》編寫。在總結多年課程教學和實踐教學經驗的基礎上,繫統闡述學習EDA技術的必要性,全面論述EDA技術的要點,通過對常用FPGA器件的描述及多種典型的數字繫統設計,展示了EDA技術的理論、方法與開發實踐。本書具有以下三個特點。
    (1) 注重基礎:  以數字電子技術為起點,繫統介紹EDA技術的重點知識,詳細論述Verilog HDL的語法要點及Quartus Prime開發環境。
    (2) 突出應用:  首先對數字電路中的常用FPGA器件進行描述,使讀者熟悉EDA技術的基本應用,然後重點講述數字頻率計、DDS信號源、PS/2電子琴、串行通信及VGA顯示等多種典型應用繫統的設計。
    (3) 培養能力:  以數字頻率計的設計為主線,以擴展頻率測量範圍和提高測量精度為目標,講述在不同資源背景下頻率計的不同實現方法,同時結合大量典型的應用實例,舉一反三,培養讀者的電子繫統設計能力。
    教學資源:
    (1) 微課視頻
    (2) 程序代碼
    (3) 工程文件
    (4) 教學大綱
    (5) 教學課件
    (6) 習題解答

     
    內容簡介

    本書分為三篇,共七章。第1~3章為基礎篇。第1章介紹EDA的基本概念和應用要素。第2章講述Verilog HDL的基本結構、語法要點和應用。第3章講述在Quartus Prime開發環境下進行數字繫統設計的基本流程、原理圖設計方法、仿真分析以及在線測試方法。第4~6章為應用篇。第4章首先講述常用數字器件的功能描述方法,然後講述分頻器和存儲器的描述及應用。第5章講述Quartus Prime中典型IP的應用,第6章講述狀態機設計方法,並通過典型的應用實例突出EDA技術的應用。第7章為提高篇,首先講述HDL代碼的書寫規範和數字繫統的設計原則、然後簡要介紹Quartus Prime綜合與優化設計問題,後重點講述時序分析以及Verilog HDL中的數值運算方法。本書可作為高等學校電子信息類、計算機類以及人工智能類本科專業的EDA課程教材,全國大學生電子設計競賽EDA/SOPC專題培訓輔導書,也可以作為研究生或者其他本科專業學生自學EDA技術的參考用書。

    作者簡介

    張俊濤: 陝西科技大學教授,碩士研究生生導師。西北地區電子技術與線路教學改革研究會理事,中國電子學會電子線路教學與產業專家委員會委員。長期從事電子信息類專業課程的理論教學與實踐教學工作,以及軟件無線電、嵌入式繫統等領域的科研工作,先後開設“模擬電子技術”“數字電子技術”“信號與繫統”“EDA技術及應用”“數字信號處理”“高頻電路”和“單片機原理及應用”等多門本科生課程及“電子繫統設計技術”研究生課程,組織和指導全國大學生電子設計競賽、EDA/SOPC電子設計專題競賽和模數混合電路應用設計競賽等共20餘屆,獲獎勵10餘項,省級獎勵100餘項。發表學術論文60餘篇,獲得授權國家發明專利2項。主持省部級及企業合作科研項目8項,主編教材4部,參編教材2部。

    目錄

    目錄
    基礎篇
    第1章EDA技術簡介
    1.1為什麼需要學習EDA技術
    1.2應用EDA技術的3個要素
    1.2.1可編程邏輯器件
    1.2.2硬件描述語言
    1.2.3EDA軟件
    1.3EDA技術的應用領域
    1.4電子繫統設計方法
    1.5網絡學習資源
    本章小結
    思考與練習


    目錄



    基礎篇
    第1章EDA技術簡介
    1.1為什麼需要學習EDA技術
    1.2應用EDA技術的3個要素
    1.2.1可編程邏輯器件
    1.2.2硬件描述語言
    1.2.3EDA軟件
    1.3EDA技術的應用領域
    1.4電子繫統設計方法
    1.5網絡學習資源
    本章小結
    思考與練習
    第2章Verilog HDL基礎
    2.1模塊的基本結構
    2.2Verilo素
    2.2.1取值集合
    2.2.2常量
    2.2.3標識符與關鍵詞
    2.3數據類型
    2.3.1線網
    2.3.2變量
    2.3.3存儲器
    2.3.4標量與矢量
    2.4運算符與操作符
    2.4.1算術運算符
    2.4.2邏輯運算符
    2.4.3位操作符
    2.4.4關繫運算符
    2.4.5等式運算符
    2.4.6條件操作符
    2.4.7移位操作符
    2.4.8縮位運算符
    2.4.9拼接操作符
    2.5模塊功能的描述方法
    2.5.1行為描述
    2.5.2數據流描述
    2.5.3結構描述
    2.5.4混合描述方法
    2.6層次化電路設計
    2.6.1模塊例化方法
    2.6.2生成語句
    2.7函數與任務
    2.7.1函數
    2.7.2任務
    2.8編譯預處理指令
    2.8.1宏定義指令
    2.8.2條件編譯指令
    2.8.3文件包含指令
    2.8.4時間尺度指令
    2.9測試平臺文件
    2.9.1顯示任務
    2.9.2仿真時間函數
    2.9.3仿真控制任務
    2.9.4數據讀取任務
    2.9.5文件任務與函數
    2.9.6應用示例
    2.10Verilog可綜合語法
    2.10.1可綜合原則
    2.10.2組合邏輯電路的可綜合描述
    2.10.3時序邏輯電路的可綜合描述
    本章小結
    思考與練習



    第3章Quartus Prime的應用
    3.1基本設計流程
    3.1.1建立工程
    3.1.2設計輸入
    3.1.3編譯、綜合與適配
    3.1.4引腳鎖定
    3.1.5編程與配置
    3.2原理圖設計方法
    3.3仿真分析
    3.3.1基於向量波形的仿真方法
    3.3.2基於testbench的仿真方法
    3.4邏輯分析儀的應用
    3.5數字頻率計的設計——基於原理圖方法
    本章小結
    思考與練習
    應用篇
    第4章常用數字器件的描述
    4.1組合邏輯器件的描述
    4.1.1基本邏輯門
    4.1.2編碼器
    4.1.3譯碼器
    4.1.4數據選擇器
    4.1.5數值比較器
    4.1.6三態緩衝器
    4.1.7奇偶校驗器
    4.2常用時序邏輯器件的描述
    4.2.1觸發器
    4.2.2寄存器
    4.2.3計數器
    4.3分頻器的設計及應用
    4.3.1偶分頻器設計
    4.3.2奇分頻器設計
    4.3.3半整數分頻器設計
    4.3.4分頻器的應用
    4.4存儲器及其應用
    4.4.1ROM
    4.4.2RAM
    4.4.3FIFO
    4.5數字頻率計的設計——基於HDL方法
    4.6偽隨機序列發生器的設計
    本章小結
    設計與實踐
    第5章IP的應用
    5.1基本功能IP
    5.2IP的定制方法
    5.3DDS信號源的設計
    5.3.1相位累加器的設計
    5.3.2正弦ROM的定制
    5.3.3輸出數據的校正
    5.3.4頂層電路設計
    5.3.5D/A轉換及濾波電路
    5.3.6功能擴展及應用
    5.4等精度頻率計的設計
    5.4.1主控電路設計
    5.4.2頻率測量與計算電路設計
    5.4.3數值轉換與顯示譯碼電路設計
    5.4.4頂層電路設計
    5.4.5功能擴展及應用
    本章小結
    設計與實踐
    第6章狀態機的設計及應用
    6.1狀態機的概念與分類
    6.2狀態機的描述方法
    6.3交通燈控制器的設計
    6.4周期法頻率計的設計
    6.5狀態機設計實踐
    6.5.1鍵盤電子琴的設計
    6.5.2VGA時序控制器的設計
    6.5.3A/D轉換控制器的設計
    本章小結
    設計與實踐
    提高篇
    第7章EDA技術深入應用
    7.1代碼編寫規範
    7.1.1標識符規範
    7.1.2代碼書寫規範
    7.1.3文檔管理規範
    7.2綜合與優化設計
    7.2.1軟件優化設置
    7.2.2描述方法對綜合的影響
    7.2.3優化設計方法
    7.3時序分析基礎
    7.3.1觸發器的動態參數
    7.3.2同步時序電路分析
    7.3.3Timing Analyzer的應用
    7.3.4異步時序與亞穩態問題
    7.4Verilog HDL數值運算
    7.4.1有符號數的加法運算
    7.4.2有符號數的乘法運算
    7.4.3FIR濾波器的設計
    7.5串口通信收發機的設計
    本章小結
    思考與練習
    參考文獻
    附錄AVerilog HDL常用關鍵詞表


     

    前言
    隨著集成電路制造工藝水平的不斷提高,可編程邏輯器件的密度越來越大,基於可編程邏輯器件的數字繫統設計方法能夠有效地減小產品的體積,降低繫統的功耗,提高繫統的工作速度和可靠性。目前,可編程邏輯器件已經廣泛應用於數字通信、集成電路設計和嵌入式繫統應用等領域。隨著智能硬件時代的到來,可編程邏輯器件必將在人工智能、大數據和高速信息處理等方面發揮更大的作用。
    編者從事電子技術基礎課程和電子信息類專業課程教學20多年,同時組織並指導大學生電子設計競賽近20年,深切地體會到EDA技術的應用為電子信息領域所帶來的變革。為突出EDA技術的應用性和實踐性,以及在新工科背景下,以學生為中心,以產出為導向,持續改進的教育理念,編者在EDA課程的教學過程中一直試圖編寫一本立足應用、突出實踐性、注重工程應用能力培養的EDA課程教材。
    本書分為3篇,共7章。
    第1~3章為基礎篇。第1章介紹EDA技術的基本概念和應用要素; 第2章講述Verilog HDL的基本結構、語法要點和應用; 第3章講述Quartus Prime開發環境的基本應用,包括數字繫統設計的基本流程、原理圖設計方法、仿真分析和在線測試方法。

    隨著集成電路制造工藝水平的不斷提高,可編程邏輯器件的密度越來越大,基於可編程邏輯器件的數字繫統設計方法能夠有效地減小產品的體積,降低繫統的功耗,提高繫統的工作速度和可靠性。目前,可編程邏輯器件已經廣泛應用於數字通信、集成電路設計和嵌入式繫統應用等領域。隨著智能硬件時代的到來,可編程邏輯器件必將在人工智能、大數據和高速信息處理等方面發揮更大的作用。
    編者從事電子技術基礎課程和電子信息類專業課程教學20多年,同時組織並指導大學生電子設計競賽近20年,深切地體會到EDA技術的應用為電子信息領域所帶來的變革。為突出EDA技術的應用性和實踐性,以及在新工科背景下,以學生為中心,以產出為導向,持續改進的教育理念,編者在EDA課程的教學過程中一直試圖編寫一本立足應用、突出實踐性、注重工程應用能力培養的EDA課程教材。
    本書分為3篇,共7章。
    第1~3章為基礎篇。第1章介紹EDA技術的基本概念和應用要素; 第2章講述Verilog HDL的基本結構、語法要點和應用; 第3章講述Quartus Prime開發環境的基本應用,包括數字繫統設計的基本流程、原理圖設計方法、仿真分析和在線測試方法。
    第4~6章為應用篇。第4章首先對常用數字邏輯器件進行描述,以便能夠與數字電路課程有效銜接,然後重點講述分頻器和ROM的描述及其應用。第5章和第6章分別講述IP的應用以及經典的狀態機設計方法,並通過DDS信號源、頻率計、電子琴和VGA時序控制器等典型應用電路和繫統的設計,突出EDA技術的應用性,培養讀者學以致用的能力。
    第7章為提高篇,首先講述Verilog HDL代碼的書寫規範和數字繫統的設計原則,然後簡要介紹綜合與優化方法,後重點講述時序分析和異步時序問題以及Verilog HDL中的數值運算方法。
    本書的編寫力求突出以下3個特點。
    (1) 注重基礎。以掌握數字電路為起點,通過對電子設計競賽真題解析闡述學習EDA技術的必要性,然後結合數據選擇器、譯碼器、鎖存器、觸發器以及計數器等基本功能電路的描述詳細講述Verilog HDL的語法要點。通過4選1數據選擇器的設計與描述、仿真分析與在線測試講述Quartus Prime開發環境的基本應用。
    (2) 緊貼應用。通過對各類數字器件進行功能描述,以便與數字電路課程有效銜接,使讀者迅速熟悉EDA技術的基本應用,然後重點講述DDS信號源、數字頻率計、VGA時序控制器和電子琴等典型電路與繫統的設計和應用。讀者通過學習和重現這些應用實例,能夠掌握EDA技術的應用精華。同時,在章節和習題中融入了歷屆電子設計競賽EDA應用題,讀者通過設計和實現這些競賽題,提高EDA技術的應用能力。
    (3) 突出繫統性。全書以數字頻率計的3種設計方案為主線,以擴展頻率測量範圍和提高頻率測量精度為目標,講述在不同的資源背景下不同的實現方法,舉一反三,循序漸進,培養讀者的繫統設計能力。
    本書在成稿過程中,許多章節內容和應用項目在編者的課程教學和實踐過程中試用並逐步完善。
    全書由張俊濤編寫,陳曉莉老師在本書的規劃和編寫過程中提出了許多指導性的建議,幫助繪制了書中的許多插圖,並協助進行了多次審核和校對。
    需要說明的是,為了方便教學,同時也為了節約篇幅,書中許多例程采用直觀形像的原理圖設計頂層電路,使讀者能夠明悉繫統的結構,並且應用簡單易用的向量波形法進行仿真。在復雜的數字繫統設計中,編者推薦應用HDL通過模塊例化方法描述頂層電路,應用testbench進行仿真分析。
    在多年的電子技術基礎課程教學、電子信息類專業課程教學以及電子設計競賽指導的過程中,編者參閱了國內外許多相關書籍、Altera/Intel官網資料和友晶公司的培訓資料,無法一一盡述,在此向相關作者表示感謝。
    由於編者水平有限,書中難免有疏漏之處,懇請讀者提出意見和改進建議。


    張俊濤2022年10月

















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部