[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

     经部  史类  子部  集部  古籍管理  古籍工具书  四库全书  古籍善本影音本  中国藏书
  •  文化

     文化评述  文化随笔  文化理论  传统文化  世界各国文化  文化史  地域文化  神秘文化  文化研究  民俗文化  文化产业  民族文化  书的起源/书店  非物质文化遗产  文化事业  文化交流  比较文化学
  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

     执业资格考试用书  室内设计/装潢装修  标准/规范  建筑科学  建筑外观设计  建筑施工与监理  城乡规划/市政工程  园林景观/环境艺术  工程经济与管理  建筑史与建筑文化  建筑教材/教辅  英文原版书-建筑
  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

     园艺  植物保护  畜牧/狩猎/蚕/蜂  林业  动物医学  农作物  农学(农艺学)  水产/渔业  农业工程  农业基础科学  农林音像
  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

  •  保健/养生

  •  体育/运动

  •  手工/DIY

  •  休闲/爱好

  •  英文原版书

  •  港台图书

  •  研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学

  •  音乐
     音乐理论

     声乐  通俗音乐  音乐欣赏  钢琴  二胡  小提琴
  • EDA技術及應用(第3版)
    該商品所屬分類:研究生 -> 工學
    【市場價】
    342-496
    【優惠價】
    214-310
    【作者】 朱正偉、朱棟、堯橫、朱晨陽、孫廣輝 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】清華大學出版社 
    【ISBN】9787302610458
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302610458
    叢書名:高等學校電子信息類專業繫列教材

    作者:朱正偉、朱棟、堯橫、朱晨陽、孫廣輝
    出版社:清華大學出版社
    出版時間:2022年08月 


        
        
    "
    編輯推薦

    本教材突破傳統的VHDL語言教學模式和流程,將普遍認為較難學習的VHDL用全新的教學理念和編排方式給出,並與EDA工程技術有機結合,可以達到良好的教學效果,同時可以大大縮短授課時數。教材內容全面,注重基礎,理論聯繫實際,書中的實例具有很高的參考價值和實用價值。

     
    內容簡介

    本書在編寫時突破傳統教材內容的制約,對教材內容等進行綜合改革,融入了本領域**的科研與教學改革成果,確保課程的高階性與創新性,充分體現了課程的挑戰度,使之更好地適應21世紀人纔培養的要求。本書的主要特點有: ①創新性,本書突破傳統的VHDL教學模式和流程,將普遍認為較難學習的VHDL,用全新的教學理念和編排方式給出,並與EDA工程實踐有機結合,達到了良好的教學效果,同時大大縮短了授課時數。全書以數字電路設計為基點,從實例的介紹中引出VHDL語法內容,通過一些簡單、直觀、典型的實例,將VHDL中核心、基本的內容解釋清楚,使讀者在較短的時間內就能有效地把握VHDL的主干內容,並付諸設計實踐。②繫統性,本書內容全面,注重基礎,理論聯繫實際,並使用大量圖表說明問題,編寫簡明精煉、針對性強,設計實例都通過了編譯,設計文件和參數選擇都經過了驗證,便於讀者對內容的理解和掌握。③實用性,本書注重實用、講述清楚、由淺入深,書中的實例具有很高的參考價值和實用價值,讀者能夠掌握較多的實戰技能和經驗。 本書既可作為高等院校電氣、自動化、計算機、通信、電子類專業的研究生、本科生的教材或參考書,也可供廣大的ASIC設計人員和電子電路設計人員閱讀參考。

    目錄
    第1章EDA技術概述
    1.1EDA技術及其發展
    1.1.1EDA技術的含義
    1.1.2EDA技術的發展歷程
    1.1.3EDA技術的基本特征
    1.2EDA技術的實現目標與ASIC設計
    1.2.1EDA技術的實現目標
    1.2.2ASIC的特點與分類
    1.2.3ASIC的設計方法
    1.2.4IP核復用技術與SOC設計
    1.3硬件描述語言
    1.3.1VHDL
    1.3.2Verilog HDL
    1.3.3ABELHDL

    第1章EDA技術概述


    1.1EDA技術及其發展


    1.1.1EDA技術的含義


    1.1.2EDA技術的發展歷程


    1.1.3EDA技術的基本特征


    1.2EDA技術的實現目標與ASIC設計


    1.2.1EDA技術的實現目標


    1.2.2ASIC的特點與分類


    1.2.3ASIC的設計方法


    1.2.4IP核復用技術與SOC設計


    1.3硬件描述語言


    1.3.1VHDL


    1.3.2Verilog HDL


    1.3.3ABELHDL


    1.3.4Verilog HDL和VHDL的比較


    1.4常用EDA工具


    1.4.1設計輸入編輯器


    1.4.2綜合器


    1.4.3仿真器


    1.4.4適配器


    1.4.5編程下載


    1.5EDA的工程設計流程


    1.5.1設計輸入


    1.5.2綜合


    1.5.3適配


    1.5.4時序仿真與功能仿真


    1.5.5編程下載


    1.5.6硬件測試


    1.6Quartus Prime 集成開發環境


    1.6.1簡介


    1.6.2Quartus Prime 18的下載與安裝


    1.6.3Quartus Prime 18用戶界面簡介


    1.6.4Quartus Prime 18新建工程


    1.7EDA技術發展趨勢


    思考題與習題


     


     


    第2章可編程邏輯器件


    2.1概述


    2.1.1PLD發展歷程


    2.1.2目前流行的可編程器件的特點


    2.1.3可編程邏輯器件的基本結構和分類


    2.1.4PLD相對於MCU的優勢所在


    2.2CPLD的結構與工作原理


    2.2.1CPLD的基本結構


    2.2.2Altera公司MAX7000繫列CPLD簡介


    2.3FPGA的結構與工作原理


    2.3.1FPGA的基本結構


    2.3.2Cyclone IV繫列器件的結構原理


    2.4國產FPGA器件


    2.5可編程邏輯器件的測試技術


    2.5.1內部邏輯測試


    2.5.2JTAG邊界掃描


    2.5.3邏輯分析儀


    2.6CPLD/FPGA的編程與配置


    2.6.1CPLD在繫統可編程


    2.6.2FPGA配置方式


    2.6.3FPGA專用配置器件


    2.6.4使用單片機配置FPGA


    2.6.5使用CPLD配置FPGA


    2.7CPLD/FPGA開發應用選擇


    思考題與習題 


    第3章原理圖輸入設計方法


    3.1原理圖設計方法


    3.1.1內附邏輯函數


    3.1.2編輯規則


    3.1.3原理圖編輯工具


    3.1.4原理圖編輯流程


    3.1.5設計項目的處理


    3.1.6設計項目的校驗


    3.1.7器件編程


    3.21位全加器設計


    3.2.1建立文件夾


    3.2.2輸入設計項目和存盤


    3.2.3將設計項目設置成工程文件


    3.2.4選擇目標器件並編譯


    3.2.5時序仿真


    3.2.6引腳鎖定


    3.2.7編程下載


    3.2.8設計頂層文件


    3.3數字電子鐘設計


    3.3.1六十進制計數器設計


    3.3.2十二進制計數器設計


    3.3.3數字電子鐘頂層電路設計


    3.4利用LPM兆功能塊的電路設計


    3.4.1常用LPM兆功能塊


    3.4.2基於lpm_counter的數據分頻器設計


    3.4.3制作一個兆功能模塊


    3.5編譯報告


    思考題與習題 


    第4章VHDL設計初步


    4.1概述


    4.1.1常用硬件描述語言簡介


    4.1.2VHDL的特點


    4.1.3VHDL程序設計約定


    4.2VHDL的及其構成


    4.2.12選1多路選擇器的VHDL描述


    4.2.2VHDL程序的基本結構


    4.2.3實體


    4.2.4結構體


    4.3VHDL文本輸入設計方法初步


    4.3.1項目建立與VHDL源文件輸入


    4.3.2將當前設計設定為工程


    4.3.3選擇VHDL文本編譯版本號和排錯


    4.3.4時序仿真


    4.4VHDL程序設計舉例


    4.4.1D觸發器的VHDL描述


    4.4.21位二進制全加器的VHDL描述


    4.4.34位加法計數器的VHDL描述


    思考題與習題 


    第5章VHDL設計進階


    5.1VHDL要素


    5.1.1VHDL文字規則


    5.1.2VHDL數據對像


    5.1.3VHDL數據類型


    5.1.4VHDL操作符


    5.2VHDL順序語句


    5.2.1賦值語句


    5.2.2轉向控制語句


    5.2.3WAIT語句


    5.2.4子程序調用語句


    5.2.5返回語句  


    5.2.6NULL語句


    5.2.7其他語句


    5.3VHDL並行語句


    5.3.1進程語句


    5.3.2並行信號賦值語句


    5.3.3塊語句結構


    5.3.4並行過程調用語句


    5.件例化語句


    5.3.6生成語句


    5.4子程序


    5.4.1函數


    5.4.2重載函數


    5.4.3過程


    5.4.4重載過程


    5.5庫、程序包及其配置


    5.5.1庫


    5.5.2程序包


    5.5.3配置


    5.6VHDL描述風格


    5.6.1行為描述


    5.6.2數據流描述


    5.6.3結構描述


    5.7的設計舉例


    5.7.1組合邏輯電路設計


    5.7.2時序邏輯電路設計


    5.8VHDL與原理圖混合設計方式


    5.8.14位二進制計數器的VHDL設計


    5.8.27段顯示譯碼器的VHDL設計


    5.8.3頂層文件原理圖設計


    5.8.4查看工程的層次結構


    思考題與習題 


    第6章有限狀態機設計


    6.1概述


    6.1.1關於狀態機


    6.1.2狀態機的特點


    6.1.3狀態機的基本結構和功能


    6.2一般有限狀態機的設計


    6.2.1一般有限狀態機的組成


    6.2.2設計實例


    6.3Moore型狀態機的設計


    6.3.1多進程Moore型狀態機


    6.3.2用時鐘同步輸出信號的Moore型狀態機


    6.4Mealy型狀態機的設計


    6.4.1多進程Mealy型狀態機


    6.4.2用時鐘同步輸出信號的Mealy型狀態機


    6.5狀態編碼


    6.5.1狀態位直接輸出型編碼


    6.5.2順序編碼


    6.5.3一位熱碼編碼


    6.5.4狀態機剩餘狀態處理


    6.6行為建模的算法狀態機圖


    6.6.1ASM圖的基本符號


    6.6.2ASM塊


    6.6.3ASM圖的時序關繫


    6.6.4ASM圖的建立


    思考題與習題 


    第7章Quartus Prime 18的常用IP核


    7.1計數器


    7.2鎖相環


    7.3數字控制振蕩器


    7.4有限衝擊響應濾波器


    7.5硬件乘法器


    7.6片上存儲器


    7.7ROM與COUNTER IP核聯合應用


    思考題與習題


    第8章設計實例


    8.1移位相加8位硬件乘法器電路設計


    8.1.1硬件乘法器的功能


    8.1.2硬件乘法器的設計思路


    8.1.3硬件乘法器的設計


    8.1.4波形仿真


    8.2DDS正弦信號發生器電路設計


    8.2.1正弦信號發生器的功能


    8.2.2DDS工作原理


    8.2.3DDS正弦信號發生器的設計


    8.2.4波形仿真


    8.3等精度頻率計電路設計


    8.3.1頻率計的功能


    8.3.2等精度頻率計工作原理


    8.3.3等精度頻率計的設計


    8.3.4波形仿真


    8.4通用異步收發機設計


    8.4.1通用異步收發機的操作


    8.4.2通用異步收發機的發送器


    8.4.3通用異步收發機的接收器


    8.4.4通用異步收發機的驗證


    8.5數字IQ正交變換


    8.6多通道數據同步


    8.7快速傅裡葉變換


    8.8CRC校驗設計


    8.8.1CRC校驗編碼原理


    8.8.2CRC校驗設計實例


    8.9線性時不變FIR濾波器設計


    附錄數字繫統設計課題


    參考文獻


     

    前言
    EDA(Electronic Design Automation,電子設計自動化)技術是現代電子工程領域的一門新技術,它提供了基於計算機和信息技術的電路繫統設計方法。EDA技術的發展和推廣應用極大地推動了電子工業的發展。隨著EDA技術的發展,硬件電子電路的設計幾乎全部可以依靠計算機來完成,這樣就大大縮短了硬件電子電路設計的周期,從而使制造商可以迅速開發出品種多、批量小的產品,以滿足市場的需求。EDA教學和產業界的技術推廣是當今世界的一個技術熱點,EDA技術是現代電子工業設計中不可或缺的一項技術。
    本書在《EDA技術及應用》(第2版)(清華大學出版社,2013年)的基礎上,根據EDA技術的發展,對原書內容總結提高、修改增刪而成。第3版教材在修訂時主要做了如下改進工作: ①第1章的開發環境升級為Quartus Prime 18版本,EDA技術的發展趨勢也做了更新; ②第2章的FPGA產品更新為Cyclone IV繫列器件的介紹,並介紹了國產FPGA器件,對邏輯分析儀也做了展開介紹,包括傳統的邏輯分析儀和嵌入式邏輯分析儀; ③第6章增加了算法狀態機圖,作為一種類似算法流程圖的控制算法流程圖,算法狀態機圖可以描述事件操作的時序,適合於描述較復雜的算法,並導出相應的硬件電路; ④考慮到IP核的應用越來越廣泛,增加了第7章關於Quartus Prime 18的常用IP核及其應用的介紹; ⑤第8章的數字電子繫統實踐中新增了數字信號處理中常用的實例; ⑥全書所有的開發環境、實例和仿真波形都更換成了Quartus Prime 18環境下的結果。

    EDA(Electronic Design Automation,電子設計自動化)技術是現代電子工程領域的一門新技術,它提供了基於計算機和信息技術的電路繫統設計方法。EDA技術的發展和推廣應用極大地推動了電子工業的發展。隨著EDA技術的發展,硬件電子電路的設計幾乎全部可以依靠計算機來完成,這樣就大大縮短了硬件電子電路設計的周期,從而使制造商可以迅速開發出品種多、批量小的產品,以滿足市場的需求。EDA教學和產業界的技術推廣是當今世界的一個技術熱點,EDA技術是現代電子工業設計中不可或缺的一項技術。
    本書在《EDA技術及應用》(第2版)(清華大學出版社,2013年)的基礎上,根據EDA技術的發展,對原書內容總結提高、修改增刪而成。第3版教材在修訂時主要做了如下改進工作: ①第1章的開發環境升級為Quartus Prime 18版本,EDA技術的發展趨勢也做了更新; ②第2章的FPGA產品更新為Cyclone IV繫列器件的介紹,並介紹了國產FPGA器件,對邏輯分析儀也做了展開介紹,包括傳統的邏輯分析儀和嵌入式邏輯分析儀; ③第6章增加了算法狀態機圖,作為一種類似算法流程圖的控制算法流程圖,算法狀態機圖可以描述事件操作的時序,適合於描述較復雜的算法,並導出相應的硬件電路; ④考慮到IP核的應用越來越廣泛,增加了第7章關於Quartus Prime 18的常用IP核及其應用的介紹; ⑤第8章的數字電子繫統實踐中新增了數字信號處理中常用的實例; ⑥全書所有的開發環境、實例和仿真波形都更換成了Quartus Prime 18環境下的結果。
    本書共分為8章,第1章對EDA技術做了綜述,解釋了有關概念; 第2章介紹了可編程邏輯器件的發展和分類,CPLD/FPGA器件的結構及特點,以及設計流程等; 第3章介紹了原理圖輸入設計方法; 第4章通過幾個典型的實例介紹了VHDL設計方法; 第5章進一步描述了VHDL語法結構及編程方法; 第6章介紹了狀態機設計方法; 第7章介紹了Quartus Prime 18中的常用IP核及其應用; 第8章通過9個數字繫統設計實踐,進一步介紹了用EDA技術來設計大型復雜數字邏輯電路的方法。本書的所有實例都經過上機調試,幾乎所有的實例都給出了仿真波形,希望對讀者有所幫助。還有一個問題需做個說明,本書中電路符號采用的是IEEE標準符號,主要目的是為了和開發環境中的電路符號一致。
    本書在編寫過程中,引用了諸多學者和專家的著作和論文中的研究成果,在這裡向他們表示衷心的感謝。清華大學出版社為本書的出版付出了艱辛的勞動,在此一並表示深深的敬意和感謝。
    本書由朱正偉主編,並編寫第1章、第2章,朱棟編寫了第3章、第7章和第8章部分內容,堯橫編寫了第6章和第8章部分內容,朱晨陽編寫了第4章、第5章,孫廣輝編寫了第7章和第8章部分內容,並為本書的圖表付出了許多辛勤的勞動。
    由於EDA技術發展迅速,加之作者水平有限,時間倉促,書中不足和疏漏之處在所難免,敬請各位讀者不吝賜教。
    編者2022.1


     


     


     


     


     

















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部