[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • 數字邏輯與處理器基礎
    該商品所屬分類:研究生 -> 工學
    【市場價】
    828-1200
    【優惠價】
    518-750
    【作者】 汪玉、李學清、馬洪兵、馬惠敏 
    【所屬類別】 圖書  教材  研究生/本科/專科教材  工學 
    【出版社】清華大學出版社 
    【ISBN】9787302637028
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302637028
    叢書名:清華大學電子工程繫核心課繫列教材

    作者:汪玉、李學清、馬洪兵、馬惠敏
    出版社:清華大學出版社
    出版時間:2023年12月 


        
        
    "
    產品特色

    編輯推薦

    本書是清華大學電子信息科學與技術大類本科生的核心課“數字邏輯與處理器基礎”的教材。本書幫助讀者在現代信息科學與技術的學科體繫中,理解並融會貫通數字電路與處理器、硬件思路與軟件思路的相互關繫,掌握數字電路和處理器的基本原理、分析設計方法和利用電路解決實際問題的能力,領悟數字繫統的設計思想與理念,為信息技術產業實踐或科學研究打下基礎。
    本書配套課堂講義、習題解答、小視頻、課程設計等教學資源,並提供教學交流沙龍,便於授課教師開展混合式課堂教學。


     

     
    內容簡介

    本書從“如何用數字電路與處理器解決計算問題”這一需求出發,圍繞數字電路和處理器兩大部分進行講解。數字電路部分重點介紹集成電路的數學基礎、組合邏輯與時序邏輯的基本概念、分析與設計方法、發展規律與核心思想。處理器部分重點介紹處理器的基本概念和原理、彙編基礎知識、不同種類基礎處理器的分析與設計方法、多級緩存的存儲器架構、處理器的發展規律與核心思想。本書配有實驗環節,基於第一部分講授的數字電路內容,利用硬件描述語言設計、優化基本的處理器,並在可編程邏輯器件上驗證。本書適合作為信息科學與技術領域的本科生教材,也可供相關領域工程技術人員參考。

    作者簡介

    汪玉,清華大學電子工程繫長聘教授、繫主任,IEEE Fellow,國家自然科學基金傑出青年基金獲得者,清華大學信息科學技術學院副院長,清華大學天津電子信息研究院院長。2002年和2007年於清華大學電子工程繫分別獲得學士與博士學位。長期從事智能芯片、高能效電路與繫統領域的科學研究及人纔培養工作。
    李學清,清華大學電子工程繫副教授。2007年和2013年於清華大學電子工程繫分別獲得學士與博士學位。從事高性能混合信號集成電路芯片、新型存儲與計算的電路與繫統研究。
    馬洪兵,清華大學電子工程繫研究員、新疆大學天山學者講座教授。1999年於北京大學獲得博士學位。從事模式識別、計算機視覺、遙感技術應用和嵌入式繫統領域的研究。
    馬惠敏,北京科技大學教授、領軍學者、計算機與通信工程學院副院長,中國圖像圖形學學會副理事長。在北京理工大學獲得博士學位,2001—2019年在清華大學電子工程繫任教。從事計算機視覺認知計算、智能無人繫統領域的科學研究。


     

    目錄
    第1章緒論
    第4章時序邏輯分析與設計
    6.7單周期處理器的中斷與異常處理
    第7章流水線處理器設計
    7.1流水線的基本概念
    7.2MIPS處理器的五級流水線設計
    7.3流水線處理器中的冒險
    7.4MIPS五級流水線處理器的數據冒險
    7.4.1數據冒險導致的擁塞
    7.4.2MIPS五級流水線的數據轉發
    7.5MIPS五級流水線處理器的控制冒險
    7.5.1J指令的控制冒險及其硬件解決方法
    7.5.2BEQ指令的控制冒險及其硬件處理方法
    7.5.3分支預測

    第1章緒論


    1.1數字電路簡介


    1.1.1數字電路的數學基礎


    1.1.2數字集成電路的發展歷史


    1.1.3數字電路的優點


    1.1.4數字電路的分層抽像


    1.1.5集成電路產業介紹


    1.2計算機組成與處理器


    1.2.1計算機組成


    1.2.2處理器的理論基礎


    1.2.3處理器發展歷史


    1.3本書關注的核心問題及核心思想


    1.3.1處理核心問題的兩種解決方案


    1.3.2解決方案的核心思想


    1.4關於本書


    1.4.1本書定位及目標


    1.4.2教材結構


    1.5拓展閱讀


    1.6思考題


    1.7參考文獻


    第2章數的表示與布爾函數


    2.1二進制計數繫統


    2.1.1歷史中的二進制


    2.1.2自然二進制


    2.2信息的二進制編碼


    2.2.1整數的二進制編碼


    2.2.2小數的二進制編碼


    2.2.3其他編碼


    2.2.4二進制信息的單位


    2.3布爾函數及其表示


    2.3.1布爾運算與邏輯門


    2.3.2布爾函數與真值表


    2.3.3兩級邏輯


    2.3.4卡諾圖


    2.4布爾函數的化簡


    2.4.1卡諾圖化簡法


    2.4.2QM算法


    2.5總結


    2.6拓展閱讀


    2.7習題


    2.8參考文獻


    第3章組合邏輯電路的分析與設計


    3.1從布爾表達式到數字邏輯電路的構建


    3.2組合邏輯的定義與表示


    3.2.1組合邏輯的定義


    3.2.2組合邏輯的表示


    3.3組合邏輯電路的分析


    3.4組合邏輯電路的設計


    3.5組合邏輯電路的評價


    3.5.1穩態因素


    3.5.2動態因素


    3.6典型組合邏輯電路的設計


    3.6.1編碼器


    3.6.2譯碼器


    3.6.3多路選擇器


    3.6.4加法器


    3.7總結


    3.8拓展閱讀


    3.9習題


     


     



    第4章時序邏輯分析與設計


    4.1基本概念


    4.1.1過程的離散化


    4.1.2時鐘


    4.1.3時序邏輯電路分類


    4.1.4有限狀態機


    4.2基本時序


    4.2.1鎖存器


    4.2.2觸發器


    4.2.3時序參數與性能分析


    4.3同步時序電路的分析方法


    4.3.1整體分析流程


    4.3.2時序約束與性能分析


    4.4同步時序電路設計


    4.4.1設計流程


    4.4.2狀態機抽像方法


    4.4.3狀態化簡方法


    4.4.4狀態分配與編碼


    4.4.5自啟動檢查


    4.5亞穩態和同步


    4.5.1亞穩態


    4.5.2同步器設計


    4.5.3同步復位和異步復位


    4.6典型時序邏輯電路


    4.6.1寄存器


    4.6.2計數器


    4.6.3模塊與接口


    4.7拓展知識


    4.7.1傳統的鎖存器/觸發器實現方法


    4.7.2四種邏輯功能的觸發器


    4.7.3分解有限狀態機


    4.8總結


    4.9拓展閱讀


    4.10思考題


    4.11習題


    4.12參考文獻


    第5章計算機指令集架構


    5.1通用計算機與指令集


    5.1.1通用計算機的意義


    5.1.2從圖靈機到通用計算機


    5.1.3指令集架構——軟硬件接口


    5.2指令集架構


    5.2.1狀態表示及存儲


    5.2.2指令功能


    5.3MIPS指令集


    5.3.1寄存器


    5.3.2存儲器


    5.3.3指令格式


    5.3.4尋址方式


    5.4彙編程序設計


    5.4.1語法


    5.4.2變量與數組


    5.4.3分支


    5.4.4過程調用


    5.4.5異常處理


    5.4.6MARS模擬器


    5.5性能評價


    5.5.1性能的定義及評價指標


    5.5.2影響性能的因素


    5.5.3繫統性能的優化


    5.6總結


    5.7拓展閱讀


    5.7.1符號擴展與無符號擴展


    5.7.2x86指令集


    5.8思考題


    5.9習題


    第6章單周期與多周期處理器


    6.1單周期處理器基本概念


    6.1.1處理器基本操作階段


    6.1.2單周期處理器基本


    6.2ALU


    6.3內存訪問和計算指令的實現


    6.3.1內存訪問指令


    6.3.2基礎計算指令


    6.4分支與跳轉指令的實現


    6.4.1分支指令


    6.4.2跳轉指令


    6.4.3跳轉鏈接和跳轉到寄存器


    6.5控制信號的生成


    6.6性能評價


    6.6.1關鍵路徑


    6.6.2性能評價



    6.7單周期處理器的中斷與異常處理


    6.8多周期處理器


    6.8.1單周期處理器面臨的挑戰


    6.8.2多周期處理器概念


    6.8.3多周期處理器的性能評價和問題


    6.9總結


    6.10拓展閱讀


    6.10.1處理器模塊的時序和Verilog HDL實現


    6.10.2協處理器簡介


    6.10.3RISCV處理器


    6.11習題



    第7章流水線處理器設計


    7.1流水線的基本概念


    7.2MIPS處理器的五級流水線設計


    7.3流水線處理器中的冒險


    7.4MIPS五級流水線處理器的數據冒險


    7.4.1數據冒險導致的擁塞


    7.4.2MIPS五級流水線的數據轉發


    7.5MIPS五級流水線處理器的控制冒險


    7.5.1J指令的控制冒險及其硬件解決方法


    7.5.2BEQ指令的控制冒險及其硬件處理方法


    7.5.3分支預測


    7.5.4延時槽技術


    7.5.5中斷和異常


    7.6總結


    7.7拓展閱讀


    7.7.1寄存器堆“先寫後讀”實現方式


    7.7.2進一步提升流水線的性能


    7.7.3其他的指令級並行技術


    7.8習題


    第8章存儲繫統設計


    8.1存儲器繫統基礎


    8.1.1存儲器的發展現狀與理想需求


    8.1.2存儲器簡介


    8.2層次結構存儲繫統


    8.2.1單一存儲介質的困境


    8.2.2存儲繫統設計基礎: 局部性原理


    8.2.3存儲繫統的層次結構


    8.2.4層次結構存儲繫統的性能度量


    8.3高速緩存技術


    8.3.1高速緩存的基本概念簡介


    8.3.2高速緩存的基礎結構


    8.3.3高速緩存的地址映像方式


    8.3.4高速緩存中數據的替換與更新


    8.4高速緩存的性能分析


    8.4.1高速緩存的性能損失分析


    8.4.2高速緩存的性能評估


    8.4.3高速緩存性能的改進方向: 多級高速緩存


    8.5虛擬內存


    8.5.1虛擬內存簡介


    8.5.2物理尋址與虛擬尋址


    8.5.3虛擬內存的組織方式


    8.5.4內存的缺失處理


    8.6拓展閱讀


    8.7習題


    第9章計算機繫統簡介


    9.1總線的定義及分類


    9.1.1總線的定義及性能指標


    9.1.2總線的結構及分類


    9.2總線是如何工作的


    9.2.1總線傳輸過程


    9.2.2總線判優控制


    9.2.3總線通信控制


    9.3外設的定義及分類


    9.3.1典型案例1: I/O設備


    9.3.2典型案例2: 磁盤


    9.4外設是如何工作的


    9.4.1I/O設備及其繫統的設計目標


    9.4.2I/O繫統和計算機繫統之間的尋址方式


    9.4.3I/O繫統和計算機繫統之間的數據交互方式


    9.5常用總線標準及接口


    9.5.1I2C總線


    9.5.2PCI與PCIe總線


    9.5.3USB


    9.6拓展閱讀


    9.7習題


    9.8參考文獻 


     

    前言
    幾十年來,集成電路為各類信息處理提供了硬件支撐,推動了信息產業的蓬勃發展。其中,數字電路與處理器作為一個核心分支,向上承接數據與算法和操作繫統,向下對接基礎電子器件與電路,在信息技術產業發展和電子信息知識體繫中起著承上啟下的重要作用,是產業界和學術界積極投入的重要領域。近年來,隨著人工智能、物聯網等領域的快速發展,數字電路和處理器面臨新的機遇和挑戰。可以預見,在推動信息產業邁向新階段的過程中,培養一大批掌握數字電路與處理器基礎知識、技能和核心理念的人纔,具備重要的意義。
    從內容結構來看,本書包括數字電路與處理器基礎兩部分內容。前者主要關注布爾代數、組合邏輯、時序邏輯等數字電路的基本原理和分析設計,後者側重於指令集架構、彙編語言、處理器、存儲器和外設等計算機基本原理和分析設計的相關內容。在傳統的電子信息相關專業中,這兩部分內容往往對應“數字電路”“微機原理”兩門課程,並分別配備對應的教學參考資料。本書通過融合這兩部分的內容,從一個更宏觀的整體的角度將兩門課程進行統籌教學,並在清華大學電子工程繫成功完成了一個學期學習這兩部分內容的教學實踐。

    幾十年來,集成電路為各類信息處理提供了硬件支撐,推動了信息產業的蓬勃發展。其中,數字電路與處理器作為一個核心分支,向上承接數據與算法和操作繫統,向下對接基礎電子器件與電路,在信息技術產業發展和電子信息知識體繫中起著承上啟下的重要作用,是產業界和學術界積極投入的重要領域。近年來,隨著人工智能、物聯網等領域的快速發展,數字電路和處理器面臨新的機遇和挑戰。可以預見,在推動信息產業邁向新階段的過程中,培養一大批掌握數字電路與處理器基礎知識、技能和核心理念的人纔,具備重要的意義。


    在此背景之下,本書面向“如何使用數字電路與處理器完成計算任務”這一核心問題,歸納總結了兩套解決方案: 為特定應用算法定制的專用硬件思路和各類應用算法通用硬件平臺的軟件思路。硬件思路與軟件思路分別對應數字電路與處理器基礎的核心內容。基於此,圍繞“數字電路”“處理器”兩個關鍵詞,清華大學電子工程繫構建起新的課程體繫,建立高效、深入、統一的學習框架,幫助讀者掌握統一的數字電路和處理器分析與設計技能,理解、領悟其中的核心思想與理念。



    從內容結構來看,本書包括數字電路與處理器基礎兩部分內容。前者主要關注布爾代數、組合邏輯、時序邏輯等數字電路的基本原理和分析設計,後者側重於指令集架構、彙編語言、處理器、存儲器和外設等計算機基本原理和分析設計的相關內容。在傳統的電子信息相關專業中,這兩部分內容往往對應“數字電路”“微機原理”兩門課程,並分別配備對應的教學參考資料。本書通過融合這兩部分的內容,從一個更宏觀的整體的角度將兩門課程進行統籌教學,並在清華大學電子工程繫成功完成了一個學期學習這兩部分內容的教學實踐。


    從章節編排來看,第1章緒論部分總體介紹數字電路與處理器的背景知識,並初探本書的核心思想。在理論知識部分中,第2章討論數字電路的數學基礎,第3、4章分別介紹組合邏輯電路以及時序邏輯電路的相關內容。第2~4章構成本書的前半部分: 關注“硬件思路”的“數字邏輯”。從第5章開始,本書逐步把視角聚焦到“軟件思路”,帶領讀者走進本書的後半部分: 
    處理器基礎。第5章以MIPS指令集為典型案例,介紹計算機指令集繫統,第6、7章介紹面向MIPS指令集的處理器設計方法,主要考察三類處理器: 單周期處理器、多周期處理器和流水線處理器。特別地,結合數字電路部分內容,探討如何利用數字電路的設計方法完成基礎處理器的設計與優化。第8、9章分別介紹存儲器與總線外設等相關內容。



    我們希望通過撰寫本書,並逐步提供講義幻燈片、課堂教授視頻、作業解析、基礎概念小視頻等配套資料,幫助讀者在現代信息科學與技術的學科體繫中,理解並融會貫通數字電路與處理器、硬件思路與軟件思路的相互關繫,掌握數字電路與處理器的基本原理、分析設計方法和利用電路解決實際問題的能力,領悟數字繫統的設計思想與理念,為在信息技術產業的產業實踐或科學研究打下堅實的基礎。對於本課程後續更深入的知識,可以參考大規模數字集成電路設計和高等體繫架構、數字片上繫統等相關資料。



    本書面向數字電路與處理器的學習和授課需求,可供電子信息類、計算機類、自動化類、生物醫學工程等相關專業的本科生以及其他專業感興趣的讀者使用。一方面,本書作為清華大學電子信息科學與技術大類的本科專業基礎核心課程配套教材,與其他多部教材一起組成電子信息科學與技術大類的完整教材體繫; 另一方面,本書也適合希望了解電路和處理器基礎知識的讀者使用,或者在相關專業的培養方案中作為教材與參考書。在清華大學電子工程繫的教學實踐中,共為本課程分配48學時。為了在48學時內完成課程講授,我們在本書的前4章(數字邏輯部分)壓縮和略去了部分內容,共需要約16學時進行講授; 而後5章(處理器基礎部分)則需要約32學時。使用本書時可以根據實際需求適當擴充學時,也可以隻使用本書的前4章作為數字邏輯課程的教材,或隻使用本書的後5章作為處理器基礎課程的教材,各分配32學時進行講授。歡迎廣大讀者和任課教師與編者交流並提出寶貴意見建議。


    衷心感謝周潤德老師、葛寧老師對本書提出的寶貴意見和提供的諸多支持。在本書的編寫過程中,朱振華、蔡熠、曾書霖、鐘凱、邱劍濤、陳佳煜、餘金城、吳玨鍵、孫寒泊、李師堯、張智帥、朱昱、張浩瑜等研究生參與了文稿撰寫和整理工作,寧昱誠、程子軒等本科生參與了封面設計和美化工作。



    由於編者水平有限,書中難免存在不足之處,敬請讀者批評指正。


     



    編者
    2023年11月

















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部