[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

     经部  史类  子部  集部  古籍管理  古籍工具书  四库全书  古籍善本影音本  中国藏书
  •  文化

     文化评述  文化随笔  文化理论  传统文化  世界各国文化  文化史  地域文化  神秘文化  文化研究  民俗文化  文化产业  民族文化  书的起源/书店  非物质文化遗产  文化事业  文化交流  比较文化学
  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

     执业资格考试用书  室内设计/装潢装修  标准/规范  建筑科学  建筑外观设计  建筑施工与监理  城乡规划/市政工程  园林景观/环境艺术  工程经济与管理  建筑史与建筑文化  建筑教材/教辅  英文原版书-建筑
  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

     园艺  植物保护  畜牧/狩猎/蚕/蜂  林业  动物医学  农作物  农学(农艺学)  水产/渔业  农业工程  农业基础科学  农林音像
  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

  •  保健/养生

  •  体育/运动

  •  手工/DIY

  •  休闲/爱好

  •  英文原版书

  •  港台图书

  •  研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学

  •  音乐
     音乐理论

     声乐  通俗音乐  音乐欣赏  钢琴  二胡  小提琴
  • 電路設計、仿真與PCB設計——從模擬電路、數字電路、射頻電路、
    該商品所屬分類:工業技術 -> 電工技術
    【市場價】
    489-710
    【優惠價】
    306-444
    【作者】 崔岩松 
    【所屬類別】 圖書  工業技術  電工技術  電工基礎理論 
    【出版社】清華大學出版社 
    【ISBN】9787302525127
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302525127
    叢書名:EDA工程技術叢書

    作者:崔岩松
    出版社:清華大學出版社
    出版時間:2019年08月 


        
        
    "

    產品特色

    編輯推薦
    本書是北京郵電大學崔岩松教授編寫的一部官方推薦用書。這套書凝聚了Altium Designer的精華。致力於打造一部可靠的電路設計工具圖書。適用於高等學校作為電路與電路板設計的教材,也適合電路工程師作為工具書。本書涉及的主題
    ? 常用的電子電路設計與仿真工具介紹
    ? 電子電路SPICE仿真描述與模型創建
    ? 基於Altium Designer 18.0的電子電路設計與仿真
    ? 基於ADS 2017的射頻電路設計與仿真
    ? 基於ModelSim 10.5的數字電路設計與仿真
    ? 基於Proteus VSM的控制電路設計與仿真
    ? 常器件特性及封裝
    ? 印制電路板基礎知識及材質、生產加工流程? 電路原理圖繪制、仿真及檢查
    ? PCB布局布線設計規則及相關參數設置
    ? 電路信號完整性和電源信號完整性設計及仿真
    本書的突出特點
    ? 介紹了電子設計自動化技術在模擬電路、數字電路、射頻電路、單片機電路的應用
    ? 通過實際電路案例講解各仿真工具的使用
    ? 詳細描述原理圖和PCB的設計規則和設計步驟
    ? 針對高速電路設計的信號完整性和電源完整性進行繫統的講解和仿真

    本書是北京郵電大學崔岩松教授編寫的一部官方推薦用書。這套書凝聚了Altium Designer的精華。致力於打造一部可靠的電路設計工具圖書。適用於高等學校作為電路與電路板設計的教材,也適合電路工程師作為工具書。本書涉及的主題
    ? 常用的電子電路設計與仿真工具介紹
    ? 電子電路SPICE仿真描述與模型創建
    ? 基於Altium Designer 18.0的電子電路設計與仿真
    ? 基於ADS 2017的射頻電路設計與仿真
    ? 基於ModelSim 10.5的數字電路設計與仿真
    ? 基於Proteus VSM的控制電路設計與仿真
    ? 常器件特性及封裝
    ? 印制電路板基礎知識及材質、生產加工流程
    ? 電路原理圖繪制、仿真及檢查
    ? PCB布局布線設計規則及相關參數設置
    ? 電路信號完整性和電源信號完整性設計及仿真
    本書的突出特點
    ? 介紹了電子設計自動化技術在模擬電路、數字電路、射頻電路、單片機電路的應用
    ? 通過實際電路案例講解各仿真工具的使用
    ? 詳細描述原理圖和PCB的設計規則和設計步驟
    ? 針對高速電路設計的信號完整性和電源完整性進行繫統的講解和仿真
     

     
    內容簡介

    本書繫統論述了電路的原理圖設計、電路仿真、印制電路板設計與信號完整性分析,涵蓋了模擬電路、數字電路、射頻電路、控制電路等。全書主要包括三部分: 第1部分(第2~6章)介紹電路設計與仿真,在介紹了常用的電路仿真軟件的基礎上,詳細講解了Altium Designer模擬電路仿真、ADS射頻電路仿真、ModelSim數字電路仿真、Proteus單片機電路仿真,舉例說明了電路的設計與仿真方法; 第2部分(第7~9章)以Altium Designer 18.0為設計工具,介紹了電路原理圖和PCB設計流程、原則、方法和注意事項; 第3部分(第10、11章)介紹了電路中的信號完整性規則及仿真方法。 本書以培養讀者具備一般電路設計、仿真和PCB設計的能力為宗旨,可作為高等院校電子類專業“EDA技術”課程的教材,也可作為“電路分析”“模擬電路”“數字電路”等理論課程或相關實驗課程的輔助教材,還可作為相關工程技術人員的參考用書。

    作者簡介

    崔岩松:京郵電大學電子工程學院教授,電子信息實驗教學中心教學團隊。長期從事電子電路設計與EDA技術、多媒體通信與集成電路領域的教學和研究工作。先後開設“電路仿真與PCB設計”“模擬集成電路設計”“三維集成電路設計”等多門本科生及研究生課程。曾獲國家科學技術進步獎二等獎、中國通信學會科學技術獎一等獎、中國電子學會電子信息科學技術獎一等獎、北京市教學成果二等獎、北京市科技進步獎三等獎,並獲得北京市大學生電子設計競賽優秀輔導教師、全國高等學校創新創業教育工作突出者、大學生創新創業實踐教學先進工作者等榮譽稱號。已獲授權國家發明專利5項,出版教材3部。

    目錄
    第1章 電路設計與仿真簡介
    1.1 緒論
    1.2 模擬電路設計及仿真工具
    1.2.1 NI Multisim
    1.2.2 Cadence PSpice
    1.2.3 Synopsys HSpice
    1.2.4 MATLAB/Simulink
    1.2.5 Altium Designer
    1.3 數字電路設計及仿真工具
    1.3.1 ModelSim
    1.3.2 Quartus Prime
    1.3.3 Vivado
    1.4 射頻電路設計及仿真工具
    1.4.1 ADS

    第1章 電路設計與仿真簡介
    1.1 緒論
    1.2 模擬電路設計及仿真工具
    1.2.1 NI Multisim
    1.2.2 Cadence PSpice
    1.2.3 Synopsys HSpice
    1.2.4 MATLAB/Simulink
    1.2.5 Altium Designer
    1.3 數字電路設計及仿真工具
    1.3.1 ModelSim
    1.3.2 Quartus Prime
    1.3.3 Vivado
    1.4 射頻電路設計及仿真工具
    1.4.1 ADS
    1.4.2 HFSS
    1.4.3 CST
    1.5 控制電路設計及仿真工具
    1.6 電路板設計及仿真工具
    1.6.1 Altium Designer
    1.6.2 Allegro PCB Designer
    1.6.3 PADS

    部分 電路設計與仿真
    第2章 Spice仿真描述與模型
    2.1 電子電路Spice描述
    2.1.1 Spice模型及程序結構
    2.1.2 Spice程序相關命令
    2.2器件及Spice模型
    2.2.1器件
    2.2.2 電壓和電流源
    2.2.3 傳輸線
    2.2.4 二極管和晶體管
    2.3 從用戶數據中創建Spice模型
    2.3.1 Spice模型的建立方法
    2.3.2 運行Spice模型向導
    第3章 電子電路設計與仿真
    3.1 直流工作點分析
    3.1.1 建立新的直流工作點分析工程
    3.1.2 添加新的仿真庫
    3.1.3 構建直流分析電路
    3.1.4 設置直流工作點分析參數
    3.1.5 直流工作點仿真結果分析
    3.2 直流掃描分析
    3.2.1 打開前面的設計
    3.2.2 設置直流掃描分析參數
    3.2.3 直流掃描仿真結果分析
    3.3 交流小信號分析
    3.3.1 建立新的交流小信號分析工程
    3.3.2 構建交流小信號分析電路
    3.3.3 設置交流小信號分析參數
    3.3.4 交流小信號仿真結果分析
    3.4 瞬態分析
    3.4.1 建立新的瞬態分析工程
    3.4.2 構建瞬態分析電路
    3.4.3 設置瞬態分析參數
    3.4.4 瞬態仿真結果分析
    3.5 參數掃描分析
    3.5.1 打開前面的設計
    3.5.2 設置參數掃描分析參數
    3.5.3 參數掃描結果分析
    3.6 傅裡葉分析
    3.6.1 建立新的傅裡葉分析工程
    3.6.2 構建傅裡葉分析電路
    3.6.3 設置傅裡葉分析參數
    3.6.4 傅裡葉仿真結果分析
    3.6.5 修改電路參數重新執行傅裡葉分析
    3.7 噪聲分析
    3.7.1 建立新的噪聲分析工程
    3.7.2 構建噪聲分析電路
    3.7.3 設置噪聲分析參數
    3.7.4 噪聲仿真結果分析
    3.8 溫度分析
    3.8.1 建立新的溫度分析工程
    3.8.2 構建溫度分析電路
    3.8.3 設置溫度分析參數
    3.8.4 溫度仿真結果分析
    3.9 蒙特卡洛分析
    3.9.1 建立新的蒙特卡洛分析工程
    3.9.2 構建蒙特卡洛分析電路
    3.9.3 設置蒙特卡洛分析參數
    3.9.4 蒙特卡洛仿真結果分析
    第4章 射頻電路設計與仿真
    4.1 S參數仿真
    4.1.1 S參數的概念
    4.1.2 S參數在電路仿真中的應用
    4.1.3 S參數仿真面板與仿真控制器
    4.1.4 S參數仿真過程
    4.1.5 基本S參數仿真
    4.1.6 匹配電路設計
    4.1.7 參數優化
    4.2 諧波平衡法仿真
    4.2.1 諧波平衡法仿真基本原理及功能
    4.2.2 諧波平衡法仿真面板與仿真控制器
    4.2.3 諧波平衡法仿真的一般步驟
    4.2.4 單音信號HB仿真
    4.2.5 參數掃描
    4.3 功率分配器的設計與仿真
    4.3.1 功分器的基本原理
    4.3.2 等分型功分器
    4.3.3 等分型功分器設計實例
    4.3.4 比例型功分器設計
    4.3.5 Wilkinson功分器
    4.3.6 Wilkinson功分器設計
    4.3.7 電路仿真與優化
    4.3.8 版圖仿真
    4.4 印刷偶極子天線的設計與仿真
    4.4.1 印刷偶極子天線
    4.4.2 偶極子天線設計
    4.4.3 優化仿真
    第5章 數字電路設計與仿真
    5.1 數字電路設計及仿真流程
    5.1.1 數字電路設計流程
    5.1.2 ModelSim工程仿真流程
    5.2 仿真激勵及文件
    5.2.1 利用波形編輯器產生激勵
    5.2.2 采用描述語言生成激勵
    5.3 VHDL仿真
    5.3.1 VHDL文件編譯
    5.3.2 VHDL設計優化
    5.3.3 VHDL設計仿真
    5.4 Verilog仿真
    5.4.1 Verilog文件編譯
    5.4.2 Verilog設計優化
    5.4.3 Verilog設計仿真
    5.4.庫
    5.5 針對不同器件的時序仿真
    5.5.1 ModelSim對Altera器件的時序仿真
    5.5.2 ModelSim對Xilinx器件的時序仿真
    第6章 控制電路設計與仿真
    6.1 Proteus繫統仿真基礎
    6.2 Proteus中的單片機模型
    6.3 51繫列單片機繫統仿真
    6.3.1 51繫列單片機基礎
    6.3.2 在Proteus中進行源程序設計與編譯
    6.3.3 在Keil 霽ision中進行源程序設計與編譯
    6.3.4 Proteus和Keil 霽ision聯合調試
    6.4 用51單片機實現電子秒表設計實例
    6.5 AVR繫列單片機仿真
    6.5.1 AVR繫列單片機基礎
    6.5.2 Proteus和IAR EWB for AVR聯合開發
    6.6 用AVR單片機實現數字電壓表設計實例

    第二部分 電路原理圖及PCB設計
    第7章 印制電路板設計基礎
    7.1 印制電路板基礎知識
    7.1.1 印制電路板的發展
    7.1.2 印制電路板的分類
    7.2 PCB材質及生產加工流程
    7.2.1 常用PCB結構及特點
    7.2.2 PCB生產加工流程
    7.2.3 PCB疊層定義
    7.3 常器件特性及封裝
    7.3.1器件特性及封裝
    7.3.2器件特性及封裝
    7.3.3器件特性及封裝
    7.3.4 器件特性及封裝
    7.3.5 器件特性及封裝
    7.4 集成電路芯片封裝
    7.5 器件設計流程
    第8章 電路原理圖設計
    8.1 原理圖繪制流程
    8.1.1 原理圖設計規劃
    8.1.2 原理圖繪制環境參數設置
    8.2 器件庫設計
    8.2器件原理圖符號術語
    8.2.2 為LM324器件創建原理圖符號封裝
    8.2.3 為XC2S300E6PQ208C器件創建原理圖符號封裝
    8.2.4 分配器件模型
    8.2器件主要參數功能
    8.2.6 使用供應商數器件參數
    8.3 原理圖繪制及檢查
    8.3.1 繪制原理圖
    8.3.2 添加設計圖紙
    8.3.3 放置原理圖符號
    8.3.4 連接原理圖符號
    8.3.5 檢查原理圖設計
    8.4 導出原理圖至PCB
    8.4.1 設置導入PCB編輯器工程選項
    8.4.2 使用同步器將設計導入到PCB編輯器
    8.4.3 使用網表實現設計間數據交換
    第9章 印制電路板PCB設計
    9.1 PCB設計流程及基本使用
    9.1.1 PCB層標簽
    9.1.2 PCB視圖查看命令
    9.1.3 自動平移
    9.1.4 顯示連接線
    9.2 PCB繪圖對像及繪圖環境參數
    9.2.1 電氣連接線
    9.2.2 普通線
    9.2.3 焊盤
    9.2.4 過孔
    9.2.5 弧線
    9.2.6 字符串
    9.2.7 原點
    9.2.8 尺寸
    9.2.9 坐標
    9.2.10 填充
    9.2.11 固體區
    9.2.12 多邊形覆銅
    9.2.13 禁止布線對像
    9.2.14 捕獲向導
    9.2.15 PCB選項對話框參數設置
    9.2.16 柵格尺寸設置
    9.2.17 視圖配置
    9.2.18 PCB坐標繫統的設置
    9.2.19 設置選項快捷鍵

    9.3 器件封裝庫設計
    9.3.1 使用IPC Footprint Wizar器件PCB封裝
    9.3.2 使用Component Wizar器件PCB封裝
    9.3.3 使用IPC Footprints Batch Generato器件PCB封裝
    9.3.4 不規則焊盤和PCB封裝的繪制
    9.3.5 添加3D封裝描述
    9.3.6器件PCB封裝
    9.4 PCB設計規則
    9.4.1 添加設計規則
    9.4.2 如何檢查規則
    9.4.3 AD中相關規則
    9.5 PCB布局設計
    9.5.1 PCB板形狀和尺寸設置
    9.5.2 PCB布局規則的設置
    9.5.3 PCB布局原則
    9.5.4 PCB布局中的其他操作
    9.6 PCB布線設計
    9.6.1 交互布線線寬和過孔大小設置
    9.6.2 交互布線線寬和過孔大小規則設置
    9.6.3 處理交互布線衝突
    9.6.4 其他交互布線選項
    9.6.5 交互多布線
    9.6.6 交互差分對布線
    9.6.7 交互布線長度對齊
    9.6.8 自動布線
    9.6.9 布線中淚滴的處理
    9.6.10 布線阻抗控制
    9.6.11 設計中關鍵布線策略
    9.7 PCB覆銅設計
    9.8 PCB設計檢查

    第三部分 信號完整性分析與設計
    第10章 信號完整性設計
    10.1 信號完整性
    10.1.1 信號時序完整性
    10.1.2 信號波形完整性
    10.1器件及PCB分布參數
    10.2 電源分配繫統及影響
    10.2.1 理想的電源不存在
    10.2.2 電源總線和電源層
    10.2.3 印制電路板的去耦電容配置
    10.2.4 信號線路及其信號回路
    10.2.5 電源分配方面考慮的電路板設計規則
    10.3 信號反射及其消除
    10.3.1 信號傳輸線定義
    10.3.2 信號傳輸線分類
    10.3.3 信號反射的定義
    10.3.4 信號反射的計算
    10.3.5 消除信號反射
    10.3.6 傳輸線的布線規則
    10.4 信號串擾及其消除
    10.4.1 信號串擾的產生
    10.4.2 信號串擾的類型
    10.4.3 抑制串擾的方法
    10.5 電磁干擾及其消除
    10.5.1 濾波
    10.5.2器件
    10.5.3 器件的速度
    10.6 差分信號原理及設計規則
    10.6.1 差分線的阻抗匹配
    10.6.2 差分線的端接
    10.6.3 差分線的一些設計規則
    第11章 電路板仿真和輸出
    11.1 IBIS模型原理及功能
    11.1.1 IBIS模型生成
    11.1.2 IBIS輸出模型
    11.1.3 IBIS輸入模型
    11.1.4 IBIS其他參數
    11.1.5 IBIS文件格式
    11.1.6 IBIS模型驗證
    11.2 信號完整性仿真
    11.2.1 SI仿真操作流程
    11.2.2 檢查原理圖和PCB圖器件連接
    11.2.3 疊層參數的設置
    11.2.4 信號完整性規則設置
    11.2.器件分配IBIS模型
    11.2.6 執行信號完整性分析
    11.2.7 觀察信號完整性分析結果
    11.3 電源完整性仿真
    11.3.1 PDN分析器接口及設置
    11.3.2 在PCB編輯器中進行可視化渲染
    11.3.3 顯示控制和選項
    11.3.4 負載下仿真
    11.3.5 仿真設置
    11.3.6 通過串聯器件擴展網絡
    11.3.7 電壓調節器模型
    11.3.8 定位電源完整性問題
    11.4 生成加工PCB相關文件
    11.4.1 生成輸出工作文件
    11.4.2 設置打印工作選項
    11.4.3 生成CAM文件
    11.4.4 生成料單文件
    11.4.5 生成光繪文件
    11.4.6 生成鑽孔文件
    11.4.7 生成貼片機文件
    11.4.8 生成PDF格式文件
    11.4.9 CAM編輯器
    11.4.10 生成3D視圖

    附錄
    附錄A Altium Designer 18.0快捷鍵
    A.1 通用環境快捷鍵
    A.2 通用編輯器快捷鍵
    A.3 SCH/SCHLIB編輯器快捷鍵
    A.4 PCB/PCBLIB編輯器快捷鍵
    附錄B 設計實例原理圖
    附器件及PCB絲印識別

    前言
    前言


    隨著計算機技術的發展,電子設計自動化技術(EDA)獲得了飛速的發展,在其推動下,現代電子產品幾乎滲透到社會的各個領域,有力地促進了社會生產力的發展和社會信息化程度的提高,同時也使現代電子產品性能進一步提高,產品更新換代的節奏也變得越來越快。
    電子設計自動化技術的核心是電子電路、IC或繫統設計及仿真、電子繫統的制造及仿真。作者在多年從事電子電路設計及開發和講授“電路仿真與PCB設計”課程的基礎上,對電子電路設計、仿真與PCB設計方面的基礎知識、軟件使用、設計經驗等內容進行整理和總結而編寫完成此書。
    本書共分為11章,其中第1章為電路設計與仿真概論; 第2~6章主要介紹電路設計與仿真技術; 第7~9章主要介紹電路原理圖及PCB設計; 第10、11章主要介紹PCB信號完整性設計及仿真。各章知識點如下:

    前言


    隨著計算機技術的發展,電子設計自動化技術(EDA)獲得了飛速的發展,在其推動下,現代電子產品幾乎滲透到社會的各個領域,有力地促進了社會生產力的發展和社會信息化程度的提高,同時也使現代電子產品性能進一步提高,產品更新換代的節奏也變得越來越快。
    電子設計自動化技術的核心是電子電路、IC或繫統設計及仿真、電子繫統的制造及仿真。作者在多年從事電子電路設計及開發和講授“電路仿真與PCB設計”課程的基礎上,對電子電路設計、仿真與PCB設計方面的基礎知識、軟件使用、設計經驗等內容進行整理和總結而編寫完成此書。
    本書共分為11章,其中第1章為電路設計與仿真概論;  第2~6章主要介紹電路設計與仿真技術;  第7~9章主要介紹電路原理圖及PCB設計;  第10、11章主要介紹PCB信號完整性設計及仿真。各章知識點如下:  
    第1章,介紹電子設計自動化技術的發展及現狀,並對當前應用於電子電路設計與仿真的主流軟件進行介紹。
    第2、3章,介紹電子電路仿真的基本工具Spice,包括Spice仿真描述語言和基本的Spice模型,並以Altium Designer 18.0為例,講解電子電路設計及仿真過程。
    第4章,介紹射頻電路設計及仿真常用的工具,並以ADS 2017為例,講解射頻電路設計及其S參數仿真,並給出兩個射頻電路設計及仿真的實例。
    第5章,介紹數字電路設計及仿真常用的工具,並以ModelSim 10.5為例,講解數字電路的設計及其邏輯仿真和時序仿真的方法,給出與其他FPGA開發工具軟件聯合進行仿真的實例。
    第6章,介紹單片機控制電路設計及仿真常用的工具,並以Proteus VSM為例,講解單片機電路的設計及單片機程序仿真。
    第7~9章,介紹電路原理圖和PCB設計的流程,並以Altium Designer 18.0為例,講解原理圖和PCB繪制方法,以及PCB設計中的布局、布線的規則。
    第10、11章,介紹信號完整性和電源完整性問題,並以Altium Designer 18.0為例,講解信號與電源完整性的仿真方法。
    附錄部分給出了Altium Designer 18.0快捷鍵、設計實例的原理圖器件識別及絲印等。
    需要說明的是,本書采用的Altium Designer 18.0、ModelSim 10.5、Proteus VSM及ADS 2017軟件漢化不完整,所以由其生成的部分圖形存在中英文混用的情形,其中器件圖形符號也是軟件庫自帶,非我國國標符號。
    在本書的編寫過程中得到了大量的幫助和支持。特別感謝清華大學出版社盛東亮編輯對本書出版工作的支持。特別感謝張建、陳鐵方、陳乾、王小燕等對本書的資料進行整理及校對。感謝Altium公司大中華區大學計劃經理華文龍,提供了本書中Altium軟件電源完整性部分的推薦和介紹。感謝何賓、馮新宇、於斌、王博等作者,他們編著的關於電子設計及仿真的相關教材為本書的撰寫提供了很大的幫助。
    盡管作者在編寫本書的過程中傾盡心力,但是由於水平有限,書中難免存在不妥之處,敬請廣大讀者不吝賜教。
    作者
    2019年6月


    在線試讀
    第5章數字電路設計與仿真

    數字電路設計隨著工藝的發展和設計規模的不斷擴大,EDA軟件在數字電路設計過程中扮演著越來越重要的角色。本章以ModelSim SE 10.5軟件為例介紹數字電路的設計與仿真。通過本章的介紹,可以從整體上了解數字電路設計的流程及ModelSim的使用概況,並掌握ModelSim的基本仿真使用方法。
    ModelSim是一款功能強大的仿真軟件,可以對VHDL、Verilog和System Verilog、SystemC等格式的文件進行仿真。由於每種編程語言的語法和文件結構都不盡相同,ModelSim對不同類文件的仿真過程也有一些差異。
    5.1數字電路設計及仿真流程
    本節介紹數字電路設計的基本流程及采用ModelSim進行數字電路設計及仿真的基本流程,學習基本的數字電路設計和仿真方法。
    5.1.1數字電路設計流程
    數字電路設計流程包括兩大類: 正向設計流程(topdown)和反向設計流程(bottomup)。正向設計流程指的是從頂層的功能設計開始,根據頂層功能的需要,細化並完成各個子功能,直至達到底層的功能模塊為止。反向設計流程正好相反,設計者先得到的是一些底層的功能模塊,采用這些底層的模塊搭建出一個高級的功能,按照這種方式繼續直至頂層的設計。
    數字電路設計的初階段,EDA工具軟件功能並不強大,所以兩種方法都被采用。隨著EDA工具的功能逐漸增強,正向設計流程得到了很好的支持並逐步成為主流的IC設計方法。這種方法也符合設計者的思維過程: 當拿到一個設計項目時,設計者首先想到的是整體電路需要達到哪些性能指標,進而采用高級語言嘗試設計的可行性,再經過RTL級、電路級直至物理級逐漸細化設計,終完成整個項目。圖51所示為數字電路設計的基本流程。

    第5章數字電路設計與仿真





    數字電路設計隨著工藝的發展和設計規模的不斷擴大,EDA軟件在數字電路設計過程中扮演著越來越重要的角色。本章以ModelSim SE 10.5軟件為例介紹數字電路的設計與仿真。通過本章的介紹,可以從整體上了解數字電路設計的流程及ModelSim的使用概況,並掌握ModelSim的基本仿真使用方法。
    ModelSim是一款功能強大的仿真軟件,可以對VHDL、Verilog和System Verilog、SystemC等格式的文件進行仿真。由於每種編程語言的語法和文件結構都不盡相同,ModelSim對不同類文件的仿真過程也有一些差異。
    5.1數字電路設計及仿真流程
    本節介紹數字電路設計的基本流程及采用ModelSim進行數字電路設計及仿真的基本流程,學習基本的數字電路設計和仿真方法。
    5.1.1數字電路設計流程
    數字電路設計流程包括兩大類: 正向設計流程(topdown)和反向設計流程(bottomup)。正向設計流程指的是從頂層的功能設計開始,根據頂層功能的需要,細化並完成各個子功能,直至達到底層的功能模塊為止。反向設計流程正好相反,設計者先得到的是一些底層的功能模塊,采用這些底層的模塊搭建出一個高級的功能,按照這種方式繼續直至頂層的設計。
    數字電路設計的初階段,EDA工具軟件功能並不強大,所以兩種方法都被采用。隨著EDA工具的功能逐漸增強,正向設計流程得到了很好的支持並逐步成為主流的IC設計方法。這種方法也符合設計者的思維過程: 當拿到一個設計項目時,設計者首先想到的是整體電路需要達到哪些性能指標,進而采用高級語言嘗試設計的可行性,再經過RTL級、電路級直至物理級逐漸細化設計,終完成整個項目。圖51所示為數字電路設計的基本流程。


    圖51數字電路設計基本流程


    設計的開始階段一定是設計文檔的編寫,這個設計說明文檔主要包含了設計要實現的具體功能和期待實現的詳細性能指標,包括電路整體結構、輸入/輸出(I/O)接口、工作頻率、可擴展性等參數要求。完成設計說明文檔後,需要用行為級描述待設計的電路。行為級描述可以采用高級語言,如C/C 等,也可以采用HDL來編寫。這個階段的描述代碼並不要求可綜合,隻需要搭建出一個滿足設計說明的行為模型即可。
    行為級描述之後是RTL級描述。這一階段一般采用VHDL或Verilog HDL來實現。對於比較大的設計,一般是在行為級描述時采用C/C 搭建模型,在RTL級描述階段,逐一地對行為模型中的子程序進行代碼轉換,用HDL代碼取代原有的C/C 代碼,再利用仿真工具的接口,將轉換成HDL代碼的子程序加載到行為模型中,驗證轉換是否成功,並依次轉換行為模型中的所有子程序,終完成從行為級到RTL級的HDL代碼描述。這樣做的好處是減少了調試的工作量,一個子程序轉換出現錯誤,隻需要更改當前轉換的子程序即可,避免了同時出現多個待修改子程序的雜亂局面。
    RTL模型的正確與否,是通過功能驗證來確定的,這一階段也稱前仿真。前仿真的特點就是沒有加入實際電路中的延遲信息,所以,前仿真的結果與實際電路結果還是有很大差異的。不過在前仿真過程中,設計者隻關心RTL模型是否能完成預期的功能,所以稱為功能驗證。
    當RTL模型通過功能驗證後,就進入邏輯綜合與優化階段。這個階段主要是由EDA工具來完成,設計者可以給綜合工具指定一些性能參數、工藝庫等,使綜合出來的電路符合要求。
    綜合生成的文件是門級網表。這個網表文件包含了綜合之後的電路信息,其中還包括了延遲信息。將這些延遲信息反標注到RTL模型中,進行時序分析。主要檢測的是建立時間(setup time)和保持時間(hold time)。其中建立時間的違例和保持時間較大的違例必須要修正,可以采用修正RTL模型或修改綜合參數來完成。對於較小的保持時間違例,可以放到後續步驟中修正。對包含延遲信息的RTL模型進行仿真驗證的過程稱為時序仿真,時序仿真的結果更加逼近實際電路。
    設計通過時序分析後,就可以進行版圖規劃與布局布線。這個階段是把綜合後的電路按一定的規則進行排布,設計者也可以添加一些參數對版圖的大小和速度等性能進行約束。布局布線的結果是生成一個物理版圖,再對這個版圖進行仿真驗證,如果不符合要求,那就需要向上查找出錯點,重新布局布線或修改RTL模型。如果版圖驗證符合要求,這個設計就可以送到工藝生產線上,進行實際芯片的生產。
    當然,上述流程隻是一個基本的過程,其中很多步驟都是可以展開成很多細小的步驟,也有一些步驟(如形式驗證)在這個流程中並沒有體現。

















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部