[ 收藏 ] [ 简体中文 ]  
臺灣貨到付款、ATM、超商、信用卡PAYPAL付款,4-7個工作日送達,999元臺幣免運費   在線留言 商品價格為新臺幣 
首頁 電影 連續劇 音樂 圖書 女裝 男裝 童裝 內衣 百貨家居 包包 女鞋 男鞋 童鞋 計算機周邊

商品搜索

 类 别:
 关键字:
    

商品分类

  •  管理

     一般管理学
     市场/营销
     会计
     金融/投资
     经管音像
     电子商务
     创业企业与企业家
     生产与运作管理
     商务沟通
     战略管理
     商业史传
     MBA
     管理信息系统
     工具书
     外文原版/影印版
     管理类职称考试
     WTO
     英文原版书-管理
  •  投资理财

     证券/股票
     投资指南
     理财技巧
     女性理财
     期货
     基金
     黄金投资
     外汇
     彩票
     保险
     购房置业
     纳税
     英文原版书-投资理财
  •  经济

     经济学理论
     经济通俗读物
     中国经济
     国际经济
     各部门经济
     经济史
     财政税收
     区域经济
     统计 审计
     贸易政策
     保险
     经济数学
     各流派经济学说
     经济法
     工具书
     通货膨胀
     财税外贸保险类考试
     英文原版书-经济
  •  社会科学

     语言文字
     社会学
     文化人类学/人口学
     新闻传播出版
     社会科学总论
     图书馆学/档案学
     经典名家作品集
     教育
     英文原版书-社会科学
  •  哲学

     哲学知识读物
     中国古代哲学
     世界哲学
     哲学与人生
     周易
     哲学理论
     伦理学
     哲学史
     美学
     中国近现代哲学
     逻辑学
     儒家
     道家
     思维科学
     马克思主义哲学
     经典作品及研究
     科学哲学
     教育哲学
     语言哲学
     比较哲学
  •  宗教

  •  心理学

  •  古籍

  •  文化

  •  历史

     历史普及读物
     中国史
     世界史
     文物考古
     史家名著
     历史地理
     史料典籍
     历史随笔
     逸闻野史
     地方史志
     史学理论
     民族史
     专业史
     英文原版书-历史
     口述史
  •  传记

  •  文学

  •  艺术

     摄影
     绘画
     小人书/连环画
     书法/篆刻
     艺术设计
     影视/媒体艺术
     音乐
     艺术理论
     收藏/鉴赏
     建筑艺术
     工艺美术
     世界各国艺术概况
     民间艺术
     雕塑
     戏剧艺术/舞台艺术
     艺术舞蹈
     艺术类考试
     人体艺术
     英文原版书-艺术
  •  青春文学

  •  文学

     中国现当代随笔
     文集
     中国古诗词
     外国随笔
     文学理论
     纪实文学
     文学评论与鉴赏
     中国现当代诗歌
     外国诗歌
     名家作品
     民间文学
     戏剧
     中国古代随笔
     文学类考试
     英文原版书-文学
  •  法律

     小说
     世界名著
     作品集
     中国古典小说
     四大名著
     中国当代小说
     外国小说
     科幻小说
     侦探/悬疑/推理
     情感
     魔幻小说
     社会
     武侠
     惊悚/恐怖
     历史
     影视小说
     官场小说
     职场小说
     中国近现代小说
     财经
     军事
  •  童书

  •  成功/励志

  •  政治

  •  军事

  •  科普读物

  •  计算机/网络

     程序设计
     移动开发
     人工智能
     办公软件
     数据库
     操作系统/系统开发
     网络与数据通信
     CAD CAM CAE
     计算机理论
     行业软件及应用
     项目管理 IT人文
     计算机考试认证
     图形处理 图形图像多媒体
     信息安全
     硬件
     项目管理IT人文
     网络与数据通信
     软件工程
     家庭与办公室用书
  •  建筑

  •  医学

     中医
     内科学
     其他临床医学
     外科学
     药学
     医技学
     妇产科学
     临床医学理论
     护理学
     基础医学
     预防医学/卫生学
     儿科学
     医学/药学考试
     医院管理
     其他医学读物
     医学工具书
  •  自然科学

     数学
     生物科学
     物理学
     天文学
     地球科学
     力学
     科技史
     化学
     总论
     自然科学类考试
     英文原版书-自然科学
  •  工业技术

     环境科学
     电子通信
     机械/仪表工业
     汽车与交通运输
     电工技术
     轻工业/手工业
     化学工业
     能源与动力工程
     航空/航天
     水利工程
     金属学与金属工艺
     一般工业技术
     原子能技术
     安全科学
     冶金工业
     矿业工程
     工具书/标准
     石油/天然气工业
     原版书
     武器工业
     英文原版书-工业技
  •  农业/林业

  •  外语

  •  考试

  •  教材

  •  工具书

  •  中小学用书

  •  中小学教科书

  •  动漫/幽默

  •  烹饪/美食

  •  时尚/美妆

  •  旅游/地图

  •  家庭/家居

  •  亲子/家教

  •  两性关系

  •  育儿/早教

     保健/养生
     体育/运动
     手工/DIY
     休闲/爱好
     英文原版书
     港台图书
     研究生
     工学
     公共课
     经济管理
     理学
     农学
     文法类
     医学
  • FPGA實戰訓練精粹
    該商品所屬分類:計算機/網絡 -> 操作繫統/繫統開發
    【市場價】
    540-784
    【優惠價】
    338-490
    【作者】 張晉榮 
    【所屬類別】 圖書  計算機/網絡  操作繫統/繫統開發  其他 
    【出版社】寤笱С靄嬪? 
    【ISBN】9787302518419
    【折扣說明】一次購物滿999元台幣免運費+贈品
    一次購物滿2000元台幣95折+免運費+贈品
    一次購物滿3000元台幣92折+免運費+贈品
    一次購物滿4000元台幣88折+免運費+贈品
    【本期贈品】①優質無紡布環保袋,做工棒!②品牌簽字筆 ③品牌手帕紙巾
    版本正版全新電子版PDF檔
    您已选择: 正版全新
    溫馨提示:如果有多種選項,請先選擇再點擊加入購物車。
    *. 電子圖書價格是0.69折,例如了得網價格是100元,電子書pdf的價格則是69元。
    *. 購買電子書不支持貨到付款,購買時選擇atm或者超商、PayPal付款。付款後1-24小時內通過郵件傳輸給您。
    *. 如果收到的電子書不滿意,可以聯絡我們退款。謝謝。
    內容介紹



    開本:16開
    紙張:膠版紙
    包裝:平裝-膠訂

    是否套裝:否
    國際標準書號ISBN:9787302518419
    作者:張晉榮

    出版社:寤笱С靄嬪?
    出版時間:2019年01月 

        
        
    "

    產品特色
    編輯推薦
    FPGA產品的應用領域已經從原來的通信擴展到消費電子、汽車電子、工業控制、測試測量等領域。FPGA工程師想從事該行業,除了需要有較為扎實的數字電路知識外,還要學會相關的FPGA設計方法,有閱讀文檔的能力,再就是FPGA學習要多練習、多實戰。
    本書以實戰為宗旨,通過初級、中級、高級三個階段的案例,讓讀者多練習、多實戰,以便全面、深入、透徹地理解FPGA開發原理,提高實際開發水平和項目實戰能力。 
    內容簡介
    本書以實戰開發為原則,先通過初級、中級、高級三個階段的案例,讓讀者全面、深入、透徹地理解FPGA開發的原理以提高實際開發水平和項目實戰能力,再通過FPGA高級綜合設計對SG軟件和HLS軟件進行介紹,提供一種全新的FPGA編程方法。
    本書共分6章,涵蓋的內容有FPGA簡史、FPGA和其他器件的區別、FPGA相應開發軟件的下載及安裝方法、Verilog語言的語法、FPGA開發流程、呼吸燈設計與實現、流水燈設計與實現、按鍵控制LED設計與實現、自動售貨機的設計與實現、串口通信設計與實現、VGA設計與實現、VGA顯示圖像、Sobel算子實現、VGA顯示原理、DDR3和PCI-e的理論講解與實踐、SG軟件和HLS軟件。
    本書內容豐富,實例典型,實用性強,適合各個層次想要學習FPGA的人員閱讀,尤其適合有一定FPGA基礎且想進一步學習的開發人員閱讀。
    作者簡介
    張晉榮,河北工業大學研究生,在校期間參與多項河北省研究創新資助項目,發表多篇計算機研究和移動相關論文。研究生期間研究領域是Linux繫統MD模塊的raid源碼部分和FPGA硬件算法部分。做過很多FPGA項目,如:DDR3接口,千兆以太網,HDMI,PCIE等。
    章振棟,西安電子科技大學研究生,熟練掌握VIVADO、HLS、SYSGEN及ZYNQ嵌入式繫統的開發,獲得多項專利,參與多項雷達信號算法研究和實時信號處理機研制(與多家研究所合作),研究生期間研究領域是多通道(MIMO)陣列雷達信號處理算法研究及其實時信號處理機的研制(ARM\\FPGA\\DSP)。完成過許多FPGA、ZYNQ項目,如DRAM接口控制(仲裁)器,DDR3接口,千兆以太網、SRIO\\AURORA高速串行互聯、PCIE、基於ZYNQ的通用雷達信號處理平臺。

    目錄
    目 錄

    第1章 FPGA基本情況介紹 1
    1.1 FPGA簡史 1
    1.1.1 FPGA與ASIC 1
    1.1.2 FPGA與CPLD 4
    1.1.3 Altera與Xilinx 5
    1.1.4 Verilog與VHDL 6
    1.2 FPGA芯片(Xilinx)介紹 8
    1.2.1 FPGA的基本結構 8
    1.2.2 軟核、硬核及固核 12
    1.2.3 7繫列FPGA簡介 13
    1.3 FPGA的應用領域 17
    1.3.1 機器學習 17目  錄

    第1章  FPGA基本情況介紹    1
    1.1  FPGA簡史    1
    1.1.1  FPGA與ASIC    1
    1.1.2  FPGA與CPLD    4
    1.1.3  Altera與Xilinx    5
    1.1.4  Verilog與VHDL    6
    1.2  FPGA芯片(Xilinx)介紹    8
    1.2.1  FPGA的基本結構    8
    1.2.2  軟核、硬核及固核    12
    1.2.3  7繫列FPGA簡介    13
    1.3  FPGA的應用領域    17
    1.3.1  機器學習    17
    1.3.2  5G無線    19
    1.3.3  嵌入式視覺    20
    1.3.4  工業物聯網    21
    1.3.5  雲計算    22
    1.3.6  FPGA硬件加速平臺    23
    1.4  總結    23
    第2章  FPGA設計基礎知識    24
    2.1  軟件下載及安裝    24
    2.1.1  ISE下載及安裝    24
    2.1.2  ModelSim下載及安裝    28
    2.1.3  Vivado下載及安裝    29
    2.1.4  ISE關聯ModelSim    32
    2.1.5  Vivado關聯ModelSim    36
    2.1.6  UE(UltraEdit)的安裝和配置    40
    2.2  Verilog基本語法介紹    44
    2.2.1  發展歷史    44
    2.2.2  語言設計思路    45
    2.2.3  語言要素    46
    2.2.4  數據類型    47
    2.2.5  流程控制    50
    2.2.6  語言描述方法    52
    2.2.7  邏輯門級描述    56
    2.2.8  晶體管級描述    57
    2.2.9  邏輯綜合編輯    58
    2.2.10  可綜合代碼    58
    2.2.11  不可綜合結構類型    58
    2.2.12  高級功能編輯    59
    2.3  FPGA開發流程    60
    2.3.1  設計流程    60
    2.3.2  典型FPGA開發流程與注意事項    61
    2.4  總結    63
    第3章  FPGA初級設計    64
    3.1  呼吸燈設計與實現    64
    3.1.1  需求分析    64
    3.1.2  流程    64
    3.1.3  時序圖    65
    3.1.4  時序圖寄存器分析    66
    3.1.5  源碼展示    67
    3.1.6  仿真文件    68
    3.1.7  仿真結果分析    69
    3.1.8  約束文件    70
    3.1.9  擴展訓練    70
    3.2  流水燈設計與實現    70
    3.2.1  需求分析    70
    3.2.2  流程    71
    3.2.3  時序圖    71
    3.2.4  時序圖寄存器分析    72
    3.2.5  源碼展示    73
    3.2.6  仿真文件    74
    3.2.7  仿真結果分析    74
    3.2.8  擴展訓練    75
    3.3  按鍵控制LED設計與實現    75
    3.3.1  需求分析    75
    3.3.2  流程    75
    3.3.3  時序圖    75
    3.3.4  時序圖寄存器分析    76
    3.3.5  源碼展示    77
    3.3.6  仿真文件    79
    3.3.7  仿真結果分析    80
    3.3.8  擴展訓練    81
    3.4  自動售貨機設計與實現    81
    3.4.1  需求分析    81
    3.4.2  流程    81
    3.4.3  時序圖    82
    3.4.4  時序圖寄存器分析    84
    3.4.5  源碼展示    87
    3.4.6  仿真文件    87
    3.4.7  仿真結果分析    89
    3.4.8  擴展訓練    90
    3.5  總結    90
    第4章  FPGA中級設計    91
    4.1  串口通信設計與實現    91
    4.1.1  需求分析    91
    4.1.2  UART協議簡介    91
    4.1.3  流程圖    93
    4.1.4  源碼分析    94
    4.1.5  仿真測試    97
    4.2  VGA設計與實現    99
    4.2.1  需求分析    99
    4.2.2  VGA原理簡介    99
    4.2.3  源碼展示分析    103
    4.2.4  仿真結果分析    106
    4.2.5  擴展訓練    107
    4.3  VGA顯示圖像    107
    4.3.1  需求分析    107
    4.3.2  流程    107
    4.3.3  時序圖    108
    4.3.4  調用RAM模塊IP核步驟    108
    4.3.5  擴展訓練    110
    4.4  Sobel算子實現    110
    4.4.1  需求分析    110
    4.4.2  Sobel算子詳解    110
    4.4.3  Sobel算子流程    112
    4.4.4  Sobel算子時序圖    113
    4.4.5  核心代碼展示和分析    114
    4.5  總結    120
    第5章  FPGA高級設計    121
    5.1  DDR3理論講解和實踐部分    121
    5.1.1  DDR3工作流程    121
    5.1.2  DDR3的一些基本概念    123
    5.1.3  文檔研讀    128
    5.1.4  DDR3的IP核測試    133
    5.1.5  總結    139
    5.2  PCI-e理論講解和實踐    139
    5.2.1  理論講解    139
    5.2.2  擴展和未來方向    144
    5.2.3  硬件協議摘要    144
    5.2.4  RIFFA簡介    147
    5.2.5  RIFFA使用之FPGA端    150
    5.2.6  RIFFA使用之PC端    157
    5.3  總結    159
    第6章  FPGA高級綜合設計    160
    6.1  System Generator介紹及安裝    160
    6.2  System Generator工具的基本使用方法    166
    6.2.1  System Generator中的庫    167
    6.2.2  System Generator中的數據類型    174
    6.2.3  System Generator自動代碼生成    174
    6.3  System Generator實例    175
    6.3.1  FIR濾波器實例    176
    6.3.2  FFT實例    193
    6.3.3  數字正交解調(DDC)實例    199
    6.4  HLS介紹及安裝    211
    6.5  HLS工具的基本使用方法    213
    6.6  HLS實例——基於HLS的Sobel邊緣檢測    214
    6.6.1  再次出現的Sobel算子    214
    6.6.2  MATLAB仿真實現邊緣檢測    216
    6.6.3  HLS實現圖像邊緣檢測    216
    6.7  總結    224

     


    前言
    前 言
    隨著人工智能、大數據、圖像處理等技術的發展,傳統計算機架構難以滿足日益增長的數據處理需求,FPGA的存在使傳統體繫結構發生了較大的變化,軟硬協同設計使得傳統架構進行了較為深入的改革。FPGA工程師想從事該行業,除了需要有較為扎實的數字電路知識外,還要學會相關的FPGA設計方法,有閱讀文檔的能力。
    目前圖書市場上關於FPGA的圖書不少,但有的圖書過於注重理論,有的圖書過於注重零碎的知識點和心得體會。本書以實戰為宗旨,通過初級、中級、高級三個階段的案例,讓讀者全面、深入、透徹地理解FPGA開發的原理,提高讀者的實際開發水平和項目實戰能力。
    本書特色
    1. 詳細深入的解說
    為了便於讀者理解本書內容、提高學習效率,本書從易到難逐漸深入講解FPGA的設計方法。
    2. 原理講解深入透徹前  言
    隨著人工智能、大數據、圖像處理等技術的發展,傳統計算機架構難以滿足日益增長的數據處理需求,FPGA的存在使傳統體繫結構發生了較大的變化,軟硬協同設計使得傳統架構進行了較為深入的改革。FPGA工程師想從事該行業,除了需要有較為扎實的數字電路知識外,還要學會相關的FPGA設計方法,有閱讀文檔的能力。
    目前圖書市場上關於FPGA的圖書不少,但有的圖書過於注重理論,有的圖書過於注重零碎的知識點和心得體會。本書以實戰為宗旨,通過初級、中級、高級三個階段的案例,讓讀者全面、深入、透徹地理解FPGA開發的原理,提高讀者的實際開發水平和項目實戰能力。
    本書特色
    1. 詳細深入的解說
    為了便於讀者理解本書內容、提高學習效率,本書從易到難逐漸深入講解FPGA的設計方法。
    2. 原理講解深入透徹
    為了讓有一定基礎的讀者進一步提升自己的能力,本書後期內容更偏向於原理的講解,使初級FPGA工程師快速提高自己。
    3. 流程圖、時序圖豐富精確
    FPGA工程師都懂時序圖的價值,本書中的時序圖均是由作者親自繪制的,講解詳細,讀者深入體會便可快速提高開發能力。
    4. 項目案例典型,實戰性強,有較高的應用價值
    本書使用項目案例進行解說。這些案例來源於作者所開發的實際項目,具有很高的應用價值和參考性,便於讀者融會貫通、理解書中所介紹的技術。這些案例稍加修改便可用於實際項目開發中。
    本書知識體繫
    第1章  FPGA基本情況介紹
    本章介紹了FPGA簡史、FPGA和其他器件的區別、Altera與Xilinx兩大公司的產品及FPGA的基本結構、應用領域和進展等。
    第2章  FPGA設計基礎知識
    本章介紹FPGA相應開發軟件的下載及安裝方法、Verilog語言的語法、FPGA的開發流程等。
    第3章  FPGA初級設計
    本章主要介紹呼吸燈、流水燈、按鍵控制LED、自動售貨機的設計與實現,從需求分析、流程圖、時序圖、源碼等方面依次遞進,逐級深入,便於初學者細細理解、打好基礎。
    第4章  FPGA中級設計
    本章主要介紹串口通信設計與實現、VGA設計與實現、VGA顯示圖像、Sobel算子實現,講解串口通信、VGA顯示等原理。設計FPGA不僅僅需要基礎設計方法,更重要的是對原理的理解和剖析。
    第5章  FPGA高級設計
    本章主要介紹DDR3和PCIE的理論與實踐部分。其中,篇幅多的是原理性的講解和文檔的閱讀分析,並且對大量英文文檔做了詳細的翻譯解讀。
    第6章  FPGA高級綜合設計
    本章主要介紹FPGA如何用SG軟件和HLS軟件進行設計。本章的目的是提供一種全新的設計思路,這也是Xilinx公司一個主要的發展方向。
    本書資源彩圖下載
    為了使讀者看清楚本書使用的圖片,我們把彩色圖片文件放到網盤中供讀者下載,可以掃描右邊的二維碼獲取。如果下載有問題,請聯繫booksaga@163.com,郵件主題為“FPGA實戰訓練精粹”。
    本書讀者
    ?    需要全面學習FPGA的人員
    ?    廣大FPGA工程師
    ?    希望提高項目開發水平的人員
    ?    專業培訓機構的學員
    ?    邏輯硬件開發項目經理
    ?    需要一本案頭查詢手冊的人員
    從2017年12月起筆,到2018年7月寫完,作者用半年多的時間將之前的FPGA項目由易到難做了詳細的總結。本書第1章和第6章由章振棟書寫、第2~5章由張晉榮書寫。劉榮福對一些器件的使用和特性進行了指點。在此,感謝劉靖宇老師對作者學習FPGA的大力支持。師恩重如山,劉靖宇老師在作者讀研期間提供了很多寶貴的意見。同樣感謝V3學院的楊亮老師,他在作者學習FPGA期間提供了很多指導性意見並給予了巨大的支持鼓勵。後感謝張奇、王迪、王守彬、張冰、彭偉桃、高一寒、王月華、王建姣、陳肜、範金泉等小伙伴對作者寫書的鼓勵和支持。

    張晉榮
    2019年1月


















     
    網友評論  我們期待著您對此商品發表評論
     
    相關商品
    在線留言 商品價格為新臺幣
    關於我們 送貨時間 安全付款 會員登入 加入會員 我的帳戶 網站聯盟
    DVD 連續劇 Copyright © 2024, Digital 了得網 Co., Ltd.
    返回頂部